L. Abdallah, H. Stratigopoulos, C. Kelma, and S. Mir, Sensors for built-in alternate RF test, 2010 15th IEEE European Test Symposium, 2010.
DOI : 10.1109/ETSYM.2010.5512783

URL : https://hal.archives-ouvertes.fr/hal-00558886

S. Abdennadher and S. A. Shaikh, Practices in mixed-signal and rf ic testing. Design & Test of Computers, IEEE, vol.24, issue.4, pp.332-339, 2007.

R. D. Adams and E. S. Cooley, The limits of digital testing for dynamic circuits, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), pp.28-32, 1999.
DOI : 10.1109/VTEST.1999.766643

H. Akaike, A new look at the statistical model identification. Automatic Control, IEEE Transactions on, vol.19, issue.6, pp.716-723, 1974.

H. Akçay, An insight into instrumental variable frequency-domain subspace identification, Automatica, vol.46, issue.2, 2009.
DOI : 10.1016/j.automatica.2009.11.009

S. S. Akbay, A. Halder, A. Chatterjee, and D. Keezer, Low-cost test of embedded rf/analog/mixed-signal circuits in sops. Advanced Packaging, IEEE Transactions on, vol.27, issue.2, pp.352-363, 2004.

N. Akkouche, A. Bounceur, S. Mir, and E. Simeu, Minimization of functional tests by statistical modelling of analogue circuits, 2007 International Conference on Design & Technology of Integrated Systems in Nanoscale Era, pp.35-40, 2007.
DOI : 10.1109/DTIS.2007.4449488

URL : https://hal.archives-ouvertes.fr/hal-00202091

K. Arabi and B. Kaminska, Testing analog and mixed-signal integrated circuits using oscillation-test method. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.16, issue.7, pp.745-753, 1997.

M. H. Assaf and M. Fathi, Built-In Hardware for Analog Circuitry Testing, 2008 Electronics, Robotics and Automotive Mechanics Conference, pp.14-19, 2008.
DOI : 10.1109/CERMA.2008.7

F. Azais, S. Bernard, Y. Betrand, and M. , Towards an ADC BIST scheme using the histogram test technique, Proceedings IEEE European Test Workshop, pp.53-58, 2000.
DOI : 10.1109/ETW.2000.873779

S. Bahukudumbi, S. Ozev, K. Chakrabarty, and V. Iyengar, Wafer-level defect screening for "big-d/small-a" mixed-signal socs. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.17, issue.4, pp.587-592, 2009.

Y. Bai, Y. Zhu, and Y. Jiang, A New Nonlinear System Identification Method Using Gene Expression Programming, 2007 International Conference on Mechatronics and Automation, pp.2951-2956, 2007.
DOI : 10.1109/ICMA.2007.4304029

T. Balen, A. J. Andrade, F. Azais, M. Lubaszewski, and M. , An approach to the built-in self-test of field programmable analog arrays, 22nd IEEE VLSI Test Symposium, 2004. Proceedings., pp.383-388, 2004.
DOI : 10.1109/VTEST.2004.1299268

S. Bhattacharya, A. Halder, G. Srinivasan, and A. Chatterjee, Alternate Testing of RF Transceivers Using Optimized Test Stimulus for Accurate Prediction of System Specifications, Journal of Electronic Testing, vol.21, issue.3, pp.323-339, 2005.
DOI : 10.1007/s10836-005-6361-9

A. Bounceur, Plateforme CAO pour le test de circuits mixtes, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00521998

A. Bounceur and S. Mir, Estimation of test metrics for AMS/RF BIST using copulas, 2008 IEEE 14th International Mixed-Signals, Sensors, and Systems Test Workshop, pp.1-6, 2008.
DOI : 10.1109/IMS3TW.2008.4581615

URL : https://hal.archives-ouvertes.fr/hal-00521995

H. Chang, C. Chen, K. Lin, and K. Cheng, Calibration and Testing Time Reduction Techniques for a Digitally-Calibrated Pipelined ADC, 2009 27th IEEE VLSI Test Symposium, pp.291-296, 2009.
DOI : 10.1109/VTS.2009.48

H. Chang, K. Lin, C. Chen, and K. Cheng, A Built-in self-calibration scheme for pipelined ADCs, 2009 10th International Symposium on Quality of Electronic Design, pp.266-271, 2009.
DOI : 10.1109/ISQED.2009.4810305

H. Chang, K. Lin, and K. Cheng, Calibration and Test Time Reduction Techniques for Digitally-Calibrated Designs: an ADC Case Study, Journal of Electronic Testing, 2010.
DOI : 10.1007/s10836-009-5123-5

H. Chang, M. Lin, C. Chen, and K. Cheng, Digitally-assisted analog/RF testing for mixed-signal SoCs, Asian Test Symposium, vol.0, pp.43-48, 2008.

S. Chen and S. A. Billings, Representations of non-linear systems: the NARMAX model, International Journal of Control, vol.6, issue.26, pp.1012-1032, 1989.
DOI : 10.1080/00207178908559683

S. Crino and D. E. Brown, Global Optimization With Multivariate Adaptive Regression Splines, IEEE Transactions on Systems, Man and Cybernetics, Part B (Cybernetics), vol.37, issue.2, pp.333-340, 2007.
DOI : 10.1109/TSMCB.2006.883430

R. H. Davies, C. J. Twining, T. F. Cootes, J. C. Waterton, and C. J. Taylor, A minimum description length approach to statistical shape modeling, IEEE Transactions on Medical Imaging, vol.21, issue.5, pp.525-537, 2002.
DOI : 10.1109/TMI.2002.1009388

S. Devarakond, V. Natarajan, A. Banerjee, H. Choi, S. Sen et al., Digitally Assisted Concurrent Built-In Tuning of RF Systems Using Hamming Distance Proportional Signatures, 2010 19th IEEE Asian Test Symposium, 2010.
DOI : 10.1109/ATS.2010.55

A. Dhayni, Test intégré pseudo aléatoire pour les composants microsystèmes, 2006.

S. Ellouz, P. Gamand, C. Kelma, B. Vandewiele, and B. Allard, Combining Internal Probing with Artificial Neural Networks for Optimal RFIC Testing, 2006 IEEE International Test Conference, pp.1-9, 2006.
DOI : 10.1109/TEST.2006.297705

URL : https://hal.archives-ouvertes.fr/hal-00369455

J. H. Friedman, Multivariate Adaptive Regression Splines, The Annals of Statistics, vol.19, issue.1, pp.1-141, 1991.
DOI : 10.1214/aos/1176347963

A. Frisch and T. Almy, HABIST: histogram-based analog built in self test, Proceedings International Test Conference 1997, pp.760-767, 1997.
DOI : 10.1109/TEST.1997.639689

Y. Geng and W. Wu, A Bayesian Information Criterion Based Approach for Model Complexity Selection in Speaker Identification, 2008 International Conference on Advanced Language Processing and Web Information Technology, pp.264-268, 2008.
DOI : 10.1109/ALPIT.2008.32

D. Gorinevsky, On the persistency of excitation in radial basis function network identification of nonlinear systems, IEEE Transactions on Neural Networks, vol.6, issue.5, pp.1237-1244, 1995.
DOI : 10.1109/72.410365

Z. Guo and J. Savir, Coefficient-Based Test of Parametric Faults in Analog Circuits, IEEE Transactions on Instrumentation and Measurement, vol.55, issue.1, pp.150-157, 2006.
DOI : 10.1109/TIM.2005.861490

A. Hagenblad, L. Ljung, and A. Wills, Maximum likelihood identification of Wiener models, Automatica, vol.44, issue.11, pp.2697-2705, 2008.
DOI : 10.1016/j.automatica.2008.02.016

A. Haider, S. Bhattacharya, G. Srinivasan, and A. Chatterjee, A system-level alternate test approach for specification test of RF transceivers in loopback mode, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, pp.289-294, 2005.
DOI : 10.1109/ICVD.2005.34

W. B. Hakvoort, R. G. Aarts, J. Van-dijk, and J. B. Jonker, A computationally efficient algorithm of iterative learning control for discrete-time linear time-varying systems, Automatica, vol.45, issue.12, pp.452925-2929, 2009.
DOI : 10.1016/j.automatica.2009.09.023

A. Halder and A. Chatterjee, Specification based digital compatible built-in test of embedded analog circuits, Proceedings 10th Asian Test Symposium, pp.344-349, 2001.
DOI : 10.1109/ATS.2001.990307

D. Han, S. Akbay, S. Bhattacharya, A. Chatterjee, and W. Eisenstadt, On-chip self-calibration of RF circuits using specification-driven built-in self test (S-BIST), On-Line Testing Symposium. IOLTS 2005. 11th IEEE International, pp.106-111, 2005.

D. Han and A. Chatterjee, Robust Built-In Test of RF ICs Using Envelope Detectors, Test Symposium. Proceedings. 14th Asian, pp.2-7, 2005.

P. Hui, T. Ozaki, V. Haggan-ozaki, and Y. Toyoda, A parameter optimization method for radial basis function type models, Neural Networks IEEE Transactions on, vol.14, issue.2, pp.432-438, 2003.

R. Kennel and A. Linder, Predictive control of inverter supplied electrical drives, 2000 IEEE 31st Annual Power Electronics Specialists Conference. Conference Proceedings (Cat. No.00CH37018), pp.761-766, 2000.
DOI : 10.1109/PESC.2000.879911

R. Khereddine, E. Simeu, and S. Mir, RF transceiver parameter identification using regressive models, 2008 3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, pp.1-6, 2008.
DOI : 10.1109/DTIS.2008.4540208

URL : https://hal.archives-ouvertes.fr/hal-00346692

A. B. Kinsman and N. Nicolici, Embedded Deterministic Test Exploiting Care Bit Clustering and Seed Borrowing, 9th International Symposium on Quality Electronic Design (isqed 2008), pp.832-837, 2008.
DOI : 10.1109/ISQED.2008.4479846

B. Koupal, T. Lee, and B. Gravens, Bluetooth single chip radios : holy grail or white elephant, p.24, 2010.

S. Kouro, P. Cortes, R. Vargas, U. Ammann, and J. Rodriguez, Model predictive control -a simple and powerful method to control power converters. Industrial Electronics, IEEE Transactions on, vol.56, issue.6, pp.1826-1838, 2009.

C. V. Krishna, A. Jas, and N. A. Touba, Test vector encoding using partial LFSR reseeding, Proceedings International Test Conference 2001 (Cat. No.01CH37260), pp.885-893, 2001.
DOI : 10.1109/TEST.2001.966711

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.17.6850

H. Kruus, G. Jervan, and R. Ubar, Using tabu search for optimization of memoryconstrained hybrid BIST, Electronics Conference. BEC 2008. 11th International Biennial Baltic, pp.155-158, 2008.

I. D. Landau, Commande des systèmes : conception, identification et mise en oeuvre. Hermès science, 2002.

I. D. Landau and A. Karimi, Recursive algorithms for identification in closed loop: A unified approach and evaluation, Automatica, vol.33, issue.8, pp.1499-1523, 1997.
DOI : 10.1016/S0005-1098(97)00061-7

I. J. Leontaritis and S. A. Billings, Input-output parametric models for non-linear systems Part I: deterministic non-linear systems, International Journal of Control, vol.130, issue.2, pp.303-328, 1985.
DOI : 10.1109/TAC.1982.1103101

A. Liakot, S. Roslina, A. Ishak, S. S. Bambang, and A. , Mohd Alauddin Mohd. Challenges and directions for testing IC, Integration, the VLSI Journal, vol.37, issue.1, pp.17-28, 2004.

I. Lind and L. Ljung, Regressor and structure selection in NARX models using a structured ANOVA approach, Automatica, vol.44, issue.2, 2007.
DOI : 10.1016/j.automatica.2007.06.010

L. Liu, Z. Chen, and J. Huang, Parameter convergence and minimal internal model with an adaptive output regulation problem, Automatica, vol.45, issue.5, pp.1306-1311, 2009.
DOI : 10.1016/j.automatica.2009.01.003

L. Ljung, Identification of nonlinear systems. plenary paper, Proc. 9th International Conference on Control, 2006.

L. Ljung, Identification of nonlinear systems, 2007.

L. Ljung, Perspectives on system identification, 2009.

S. Lu and T. Basar, Robust nonlinear system identification using neural-network models, Neural Networks IEEE Transactions on, vol.9, issue.3, pp.407-429, 1998.

L. Malesani, P. Mattavelli, and S. Buso, Robust dead-beat current control for PWM rectifiers and active filters, Industry Applications Conference Thirty-Third IAS Annual Meeting, pp.1377-1384, 1998.

L. Malesani, P. Mattavelli, and S. Buso, Robust dead-beat current control for PWM rectifiers and active filters, IEEE Transactions on Industry Applications, vol.35, issue.3, pp.613-620, 1999.
DOI : 10.1109/28.767012

J. C. Martinez, R. M. Kennel, and T. Geyer, Model predictive direct current control, 2010 IEEE International Conference on Industrial Technology, pp.1808-1813, 2010.
DOI : 10.1109/ICIT.2010.5472514

P. Mattavelli, G. Spiazzi, and P. Tenti, Predictive digital control of power factor preregulators using disturbance observer for input voltage estimation, Power Electronics Specialist Conference, 2003. PESC '03. 2003 IEEE 34th Annual, pp.1703-1708, 2003.

S. Mcloone, M. D. Brown, G. Irwin, and A. Lightbody, A hybrid linear/nonlinear training algorithm for feedforward neural networks, IEEE Transactions on Neural Networks, vol.9, issue.4, pp.669-684, 1998.
DOI : 10.1109/72.701180

S. Mcloone and G. W. Irwin, Fast parallel off-line training of multilayer perceptrons, IEEE Transactions on Neural Networks, vol.8, issue.3, pp.646-653, 1997.
DOI : 10.1109/72.572103

L. Milor and A. L. Sangiovanni-vincentelli, Minimizing production test time to detect faults in analog circuits. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.13, issue.6, pp.796-813, 1994.

S. G. Mosin, Neural Network-Based Technique for Detecting Catastrophic and Parametric Faults in Analog Circuits, 18th International Conference on Systems Engineering (ICSEng'05), pp.224-229, 2005.
DOI : 10.1109/ICSENG.2005.58

V. Natarajan, R. Senguttuvan, S. Sen, and A. Chatterjee, ACT: Adaptive Calibration Test for Performance Enhancement and Increased Testability of Wireless RF Front-Ends, 26th IEEE VLSI Test Symposium (vts 2008), pp.215-220, 2008.
DOI : 10.1109/VTS.2008.58

V. Natarajan, R. Senguttuvan, S. Sen, and A. Chatterjee, Built-in Test Enabled Diagnosis and Tuning of RF Transmitter Systems, VLSI Design, vol.8, issue.3, pp.1-10, 2008.
DOI : 10.1109/MDT.2006.136

O. Novak and J. Nosek, On using deterministic test sets in BIST, Proceedings 6th IEEE International On-Line Testing Workshop (Cat. No.PR00646), pp.127-132, 2000.
DOI : 10.1109/OLT.2000.856624

I. Popa, A. Zafiu, and D. Cazacu, Cost minimization for ASIC hybrid BIST designs, Electronics Technology. ISSE 2009. 32nd International Spring Seminar on, pp.1-6, 2009.

J. Rajski, J. Tyszer, M. Kassab, and N. Mukherjee, Embedded deterministic test. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.23, issue.5, pp.776-792, 2004.

G. Ray and P. R. Rao, On-line identification and control of multivariablc discrete-time systems based on a transformed model, International Journal of Systems Science, vol.58, issue.1, pp.175-191, 1990.
DOI : 10.1109/TAC.1975.1101081

J. Rissanen, Modeling by shortest data description, Automatica, vol.14, issue.5, pp.465-471, 1978.
DOI : 10.1016/0005-1098(78)90005-5

J. Roll, I. Lind, and L. Ljung, Connections between optimisation-based regressor selection and analysis of variance, Proceedings of the 45th IEEE Conference on Decision and Control, 2006.
DOI : 10.1109/CDC.2006.377519

B. Sahu and G. A. Rincon-mora, A high-efficiency linear RF power amplifier with a power-tracking dynamically adaptive buck-boost supply. Microwave Theory and Techniques, IEEE Transactions on, vol.52, issue.1, pp.112-120, 2004.

J. Savir, On chip weighted random patterns, Proceedings Sixth Asian Test Symposium (ATS'97), pp.344-352, 1997.
DOI : 10.1109/ATS.1997.643981

J. Schoukens, J. G. Nemeth, P. Crama, Y. Rolain, and R. Pintelon, Fast approximate identification of nonlinear systems, Automatica, vol.39, issue.7, pp.1267-1274, 2003.
DOI : 10.1016/S0005-1098(03)00083-9

M. Sedghi, E. Koopahi, A. Alaghi, M. Fathy, and Z. Navabi, An NoC Test Strategy Based on Flooding with Power, Test Time and Coverage Considerations, 21st International Conference on VLSI Design (VLSID 2008), pp.409-414, 2008.
DOI : 10.1109/VLSI.2008.111

E. Simeu and S. Mir, Diagnosis in linear and nonlinear mixed-signal systems : a parameter identification based technique Annual Int'l Mixed-Signals Testing Workshop, IMSTW'05, pp.6-7, 2005.

E. Simeu, S. Mir, R. Khereddine, and H. N. Nguyen, Envelope Detection Based Transition Time Supervision for Online Testing of RF MEMS Switches, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), pp.237-243, 2007.
DOI : 10.1109/IOLTS.2007.30

URL : https://hal.archives-ouvertes.fr/hal-00172286

E. Simeu, H. N. Nguyen, P. Cauvet, S. Mir, L. Rufer et al., Using Signal Envelope Detection for Online and Offline RF MEMS Switch Testing, VLSI Design, vol.39, issue.11, pp.1-10, 2008.
DOI : 10.1214/aos/1176347963

URL : https://hal.archives-ouvertes.fr/hal-00348328

M. Slamani, M. Zineb, and M. Boukadoum, A DSP testing approach by modeling the circuit response as a Markov chain, 1998 IEEE International Conference on Electronics, Circuits and Systems. Surfing the Waves of Science and Technology (Cat. No.98EX196), pp.241-247, 1998.
DOI : 10.1109/ICECS.1998.813976

R. Spina and S. Upadhyaya, Linear circuit fault diagnosis using neuromorphic analyzers . Circuits and Systems II : Analog and Digital Signal Processing, IEEE Transactions on, vol.44, issue.3, pp.188-196, 1997.

J. A. Starzyk and M. A. , Artificial neural network for testing analog circuits, IEEE International Symposium on Circuits and Systems, pp.1851-1854, 1990.
DOI : 10.1109/ISCAS.1990.112022

V. Stopjakova, D. Micusik, L. Benuskova, and M. Margala, Neural networks-based parametric testing of analog IC, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings., pp.408-416, 2002.
DOI : 10.1109/DFTVS.2002.1173538

H. Stratigopoulos, P. Drineas, M. Slamani, and Y. Makris, RF Specification Test Compaction Using Learning Machines, Very Large Scale Integration (VLSI) Systems, IEEE Transactions on : Accepted for future publication Volume PP, Forthcoming. Page(s), pp.1-1, 2009.
DOI : 10.1109/TVLSI.2009.2017196

URL : https://hal.archives-ouvertes.fr/hal-00493365

S. Sunter and N. Nagi, Test metrics for analog parametric faults, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), pp.226-234, 1999.
DOI : 10.1109/VTEST.1999.766670

R. Tang, P. F. Si, W. K. Huang, and F. Lombardi, Testing IP cores with pseudo exhaustive test sets, ASICON 2001. 2001 4th International Conference on ASIC Proceedings (Cat. No.01TH8549), pp.740-743, 2001.
DOI : 10.1109/ICASIC.2001.982669

K. W. To and A. K. David, On-line identification and control of an AC/DC power system, International Journal of Electrical Power & Energy Systems, vol.18, issue.4, pp.223-227, 1996.
DOI : 10.1016/0142-0615(95)00061-5

E. L. Truebenbach, Digital test program re-hosting considerations and applications, 2007 IEEE Autotestcon, pp.89-96, 2007.
DOI : 10.1109/AUTEST.2007.4374206

J. Turino, Design for test and time to market: a personal perspective, IEEE Design & Test of Computers, vol.16, issue.3, pp.23-27, 1999.
DOI : 10.1109/54.785824

A. Valdes-garcia, R. Venkatasubramanian, J. Silva-martinez, and E. Sanchez-sinencio, A broadband CMOS amplitude detector for on-chip RF measurements. Instrumentation and Measurement, IEEE Transactions on, vol.57, issue.7, pp.1470-1477, 2008.

P. Variyam and A. Chatterjee, Digital-compatible BIST for analog circuits using transient response sampling, IEEE Design & Test of Computers, vol.17, issue.3, pp.106-115, 2000.
DOI : 10.1109/54.867901

P. N. Variyam and A. Chatterjee, Test generation for comprehensive testing of linear analog circuits using transient response sampling, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD) ICCAD-97, pp.382-385, 1997.
DOI : 10.1109/ICCAD.1997.643564

P. N. Variyam, S. Cherubal, and A. Chatterjee, Prediction of analog performance parameters using fast transient testing. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.21, issue.3, pp.349-361, 2002.

J. Wang, W. X. Zheng, and T. Chen, Identification of linear dynamic systems operating in a networked environment, Automatica, vol.45, issue.12, pp.2763-2772, 2009.
DOI : 10.1016/j.automatica.2009.09.021

R. Yazma and A. Quan, IEEE-1445 (DTIF) based digital test solution, 2009 IEEE AUTOTESTCON, pp.453-456, 2009.
DOI : 10.1109/AUTEST.2009.5314007

C. Zhang, R. Gharpurey, and J. A. Abraham, On-Line Calibration and Power Optimization of RF Systems Using a Built-In Detector, 2009 27th IEEE VLSI Test Symposium, pp.285-290, 2009.
DOI : 10.1109/VTS.2009.23

G. Zhen and J. Savir, Coefficient-based test of parametric faults in analog circuits. Instrumentation and Measurement, IEEE Transactions on, vol.55, issue.1, pp.150-157, 2006.

R. Khereddine, L. Abdallah, E. Simeu, S. Mir, and F. Cenni, Adaptive Logical Control of RF LNA Performances for Efficient Energy Consumption, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00556928

R. Khereddine, L. Abdallah, E. Simeu, S. Mir, and F. Cenni, Adaptive Logical Control of RF LNA Performances for Efficient Energy Consumption, 18th VLSI-Soc, 2010.

R. Khereddine, E. Simeu, and S. Mir, Parameter Identification of RF Transceiver Blocks Using Regressive Models, Programmable Devices and Embedded Systems (PDeS09), 2008.
DOI : 10.3182/20090210-3-CZ-4002.00017

URL : https://hal.archives-ouvertes.fr/hal-00386229

R. Khereddine, E. Simeu, and S. Mir, RF transceiver parameter identification using regressive models, 2008 3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2008.
DOI : 10.1109/DTIS.2008.4540208

URL : https://hal.archives-ouvertes.fr/hal-00346692

R. Khereddine, E. Simeu, and S. Mir, Utilisation des modèles de regression pour l'identification des paramètres d'un transceiver RF, Journées Nationales du Reseau Doctoral en Microélectronique, 2008.

E. Simeu, H. N. Nguyen, P. Cauvet, S. Mir, L. Rufer et al., Using Signal Envelope Detection for Online and Offline RF MEMS Switch Testing, VLSI Design, vol.39, issue.11
DOI : 10.1214/aos/1176347963

URL : https://hal.archives-ouvertes.fr/hal-00348328

E. Simeu, H. N. Nguyen, P. Cauvet, S. Mir, L. Rufer et al., Embedded test of RF MEMS switches in SiP devices, 15th IFIP International Conference on Very Large Scale Integration VLSI-SoC, 2007.

E. Simeu, S. Mir, R. Khereddine, and H. N. Nguyen, Envelope Detection Based Transition Time Supervision for Online Testing of RF MEMS Switches, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007.
DOI : 10.1109/IOLTS.2007.30

URL : https://hal.archives-ouvertes.fr/hal-00172286

E. Simeu, P. Cauvet, H. N. Nguyen, S. Mir, L. Rufer et al., Using signal envelope detection for RF MEMS switch testing, 13th IEEE International Mixed-Signals Testing Workshop, 2007.

R. Khereddine, E. Simeu, and S. Mir, Utilisation des techniques de regression pour le test et le diagnostic des composantes RF, Journées GDR SoC-SiP, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00178943