N. Ous, A. Vu-dans, and L. , chapitre précédent que des méthodes basées sur du Software- Based Self-Test (SBST) ou sur l'utilisation de structures BIST pourraient convenir pour détecter, en ligne, des erreurs intermittentes. Cependant, nous devons évaluer l'efficacité de ces méthodes dans une architecture multiprocesseur. Nous savons que l'utilisation de ces 5.1 Objectifs de l'étude, p.99

I. Koren and C. Krishna, Fault-tolerant systems, 2007.

J. Srinivasan, S. Adve, P. Bose, and J. Rivers, The impact of technology scaling on lifetime reliability, International Conference on Dependable Systems and Networks, 2004, pp.177-186, 2004.
DOI : 10.1109/DSN.2004.1311888

S. Mukherjee, J. Emer, and S. Reinhardt, The Soft Error Problem: An Architectural Perspective, 11th International Symposium on High-Performance Computer Architecture, pp.243-247, 2005.
DOI : 10.1109/HPCA.2005.37

P. M. Wells, K. Chakraborty, and G. S. Sohi, Adapting to intermittent faults in multicore systems, International conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp.255-264, 2008.

C. Constantinescu, Intermittent faults and effects on reliability of integrated circuits, 2008 Annual Reliability and Maintainability Symposium, pp.370-374, 2008.
DOI : 10.1109/RAMS.2008.4925824

H. Qi, S. Ganesan, and M. Pecht, No-fault-found and intermittent failures in electronic products, Microelectronics Reliability, vol.48, issue.5, pp.663-674, 2008.
DOI : 10.1016/j.microrel.2008.02.003

S. Borkar, T. Karnik, S. Narendra, and J. Tschanz, Parameter variations and impact on circuits and microarchitecture, Proceedings of the 40th conference on Design automation , DAC '03
DOI : 10.1145/775832.775920

C. Constantinescu, Impact of Intermittent Faults on Nanocomputing Devices, Proc. IEEE/IFIP DSN (Supplemental Volume), pp.238-241, 2007.

R. Joseph, D. Brooks, and M. Martonosi, Control techniques to eliminate voltage emergencies in high performance processors, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings., pp.79-90, 2003.
DOI : 10.1109/HPCA.2003.1183526

M. Powell and T. Vijaykumar, Exploiting resonant behavior to reduce inductive noise, Proceedings. 31st Annual International Symposium on, pp.288-299, 2004.

M. D. Powell, M. Gomaa, and T. N. Vijaykumar, Heat-and-run : leveraging SMT and CMP to manage power density through the operating system, SIGOPS Oper. Syst. Rev, vol.385, pp.260-270, 2004.

R. Technology, Semiconductor Reliability Handbook. Rap. tech. Rev 1.01. Renesas Technology, 2008.

A. Mahmood and E. Mccluskey, Concurrent error detection using watchdog processors-a survey, Transactions on Computers 37, pp.160-174, 1988.
DOI : 10.1109/12.2145

J. Segura and C. F. Hawkins, CMOS Electronics : How it Works, how it Fails, 2004.
DOI : 10.1002/0471728527

S. Duvall, Statistical circuit modeling and optimization, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489, pp.56-63, 2000.
DOI : 10.1109/IWSTM.2000.869312

K. Bowman, S. Duvall, and J. Meindl, Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration, IEEE Journal of Solid-State Circuits, vol.37, issue.2, pp.183-190, 2002.
DOI : 10.1109/4.982424

K. Bowman, A. Alameldeen, S. Srinivasan, and C. Wilkerson, Impact of Dieto-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.12-1679, 2009.

M. Lundstrom, ECE 612 Lecture 13 : Threshold Voltage and MOSFET Capacitances, 1855.

M. Gupta, J. Rivers, P. Bose, and G. Wei, Tribeca, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, pp.435-446, 2009.
DOI : 10.1145/1669112.1669168

S. Mukherjee, Architecture design for soft errors, pp.1-38, 2008.

J. Publication, Failure Mechanisms and Models for Semiconductor Devices, 2003.

T. Ong, M. Levi, P. Ko, and C. Hu, Recovery of threshold voltage after hot-carrier stressing, Electron Devices, pp.978-984, 1988.
DOI : 10.1109/16.3354

Y. Li, Y. M. Kim, E. Mintarno, and D. Gardner, Overcoming Early-Life Failure and Aging for Robust Systems, IEEE Design & Test of Computers, vol.26, issue.6, pp.28-39, 2009.
DOI : 10.1109/MDT.2009.152

J. Publication, Foundry process qualification guidelines, 2004.

L. Rashid, K. Pattabiraman, and S. Gopalakrishnan, Towards understanding the effects of intermittent hardware faults on programs, 2010 International Conference on Dependable Systems and Networks Workshops (DSN-W), pp.101-106, 2010.
DOI : 10.1109/DSNW.2010.5542613

N. Kranitis, A. Merentitis, N. Laoutaris, and G. Theodorou, Optimal Periodic Testing of Intermittent Faults In Embedded Pipelined Processor Applications, Proceedings of the Design Automation & Test in Europe Conference, pp.1-6, 2006.
DOI : 10.1109/DATE.2006.243983

J. Publication, Highly Accelerated Temperature and Humidity Stress Test, 1999.

J. Publication, Accelerated Moisture Resistance-Unbiased Autoclave, 2008.

I. Powerpc, URL : https://www-01.ibm.com/chips/techlib/techlib

M. Guthaus, J. Ringenberg, D. Ernst, and T. Austin, MiBench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538), pp.3-14, 2001.
DOI : 10.1109/WWC.2001.990739

N. Kranitis, A. Paschalis, D. Gizopoulos, and G. Xenoulis, Software-based selftesting of embedded processors, IEEE Transactions on, vol.544, pp.461-475, 2005.

L. Wasserman, All of statistics : a concise course in statistical inference, pp.312-319, 2004.
DOI : 10.1007/978-0-387-21736-9

D. Siewiorek and R. Swarz, Reliable Computer Systems : Design and Evaluation, 1998.

F. Azaïs, S. Bernard, Y. Bertrand, and M. Flottes, Test de Circuits et de Systèmes Intégrés. Français. 11413. Collection EGEM, 2004.

R. White and F. Miles, Principles of fault tolerance Applied Power Electronics Conference and Exposition APEC '96, Eleventh Annual. T. 1, pp.18-25, 1996.

S. Reinhardt and S. Mukherjee, Transient fault detection via simultaneous multithreading, Proceedings of the 27th International Symposium on, pp.25-36, 2000.
DOI : 10.1145/342001.339652

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2396

S. Mukherjee, M. Kontz, and S. Reinhardt, Detailed design and evaluation of redundant multi-threading alternatives, Proceedings 29th Annual International Symposium on Computer Architecture, pp.99-110, 2002.
DOI : 10.1109/ISCA.2002.1003566

T. Austin, DIVA: a reliable substrate for deep submicron microarchitecture design, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, pp.196-207, 1999.
DOI : 10.1109/MICRO.1999.809458

A. Meixner, M. Bauer, and D. Sorin, Argus : Low-Cost, Comprehensive Error Detection in Simple Cores, IEEE MICRO, vol.281, pp.52-59, 2008.

S. Shyam, K. Constantinides, S. Phadke, and V. Bertacco, Ultra low-cost defect protection for microprocessor pipelines, ASPLOS-XII : Proceedings of the 12th international conference on Architectural support for programming languages and operating systems, pp.73-82, 2006.

M. Psarakis, D. Gizopoulos, E. Sanchez, and M. Reorda, Microprocessor Software-Based Self-Testing " . Dans : Design Test of Computers, IEEE, vol.273, pp.4-19, 2010.

Y. Li, S. Makar, and S. M. , CASP, Proceedings of the conference on Design, automation and test in Europe, DATE '08, pp.8-885, 2008.
DOI : 10.1145/1403375.1403590

URL : https://hal.archives-ouvertes.fr/hal-01309105

D. Ernst, N. S. Kim, S. Das, and S. Pant, Razor: a low-power pipeline based on circuit-level timing speculation, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.7-18, 2003.
DOI : 10.1109/MICRO.2003.1253179

E. Mizan, T. Amimeur, and M. F. Jacome, Self-Imposed Temporal Redundancy: An Efficient Technique to Enhance the Reliability of Pipelined Functional Units, 19th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'07), pp.45-53, 2007.
DOI : 10.1109/SBAC-PAD.2007.39

M. K. Qureshi, O. Mutlu, and Y. N. Patt, Microarchitecture-Based Introspection: A Technique for Transient-Fault Tolerance in Microprocessors, 2005 International Conference on Dependable Systems and Networks (DSN'05), 2004.
DOI : 10.1109/DSN.2005.62

N. Oh, P. Shirvani, and E. Mccluskey, Error detection by duplicated instructions in super-scalar processors, IEEE Transactions on Reliability, vol.51, issue.1, pp.63-75, 2002.
DOI : 10.1109/24.994913

G. Reis, J. Chang, N. Vachharajani, and R. Rangan, SWIFT: Software Implemented Fault Tolerance, International Symposium on Code Generation and Optimization, pp.243-254, 2005.
DOI : 10.1109/CGO.2005.34

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.472.4177

N. J. Wang and S. J. Patel, ReStore : Symptom-Based Soft Error Detection in Microprocessors, IEEE Trans. Dependable Secur. Comput, vol.33, pp.188-201, 2006.

J. Somers, Stratus ftServer?Intel Fault Tolerant Platform, Rap. tech. Intel Developer Forum, 2002.

A. Wood, R. Jardine, and W. Bartlett, Data Integrity in HP NonStop Servers, 2nd IEEE Workshop on Silicon Errors in Logic and System Effects (SELSE), 2006.

T. Slegel, R. Averill-iii, M. Check, and B. Giamei, IBM's S/390 G5 microprocessor design, IEEE Micro, vol.19, issue.2, pp.12-23, 1999.
DOI : 10.1109/40.755464

F. Sellers, M. Xiao, and L. Bearnson, Error detecting logic for digital computers, 1968.

G. Reis, J. Chang, N. Vachharajani, and S. Mukherjee, Design and evaluation of hybrid fault-detection systems, Computer Architecture, 2005. ISCA '05. Proceedings . 32nd International Symposium on, pp.148-159, 2005.

H. Inoue, Y. Li, and S. Mitra, VAST: Virtualization-Assisted Concurrent Autonomous Self-Test, 2008 IEEE International Test Conference, pp.1-10, 2008.
DOI : 10.1109/TEST.2008.4700583

D. Gizopoulos, M. Psarakis, M. Hatzimihail, and M. Maniatakos, Systematic Software-Based Self-Test for Pipelined Processors, Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 16, pp.1441-1453, 2008.
DOI : 10.1109/TVLSI.2008.2000866

M. Breuer, Testing for Intermittent Faults in Digital Circuits, IEEE Transactions on Computers, vol.22, issue.3, pp.241-246, 1973.
DOI : 10.1109/T-C.1973.223701

S. Kamal and C. Page, Intermittent Faults: A Model and a Detection Procedure, IEEE Transactions on Computers, vol.23, issue.7, pp.713-719, 1974.
DOI : 10.1109/T-C.1974.224019

A. Paschalis and D. Gizopoulos, Effective software-based self-test strategies for online periodic testing of embedded processors, IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, vol.241, pp.88-99, 2005.

A. Apostolakis, D. Gizopoulos, M. Psarakis, and A. Paschalis, Software-Based Self-Testing of Symmetric Shared-Memory Multiprocessors, IEEE Transactions on Computers, vol.58, issue.12, pp.1682-1694, 2009.
DOI : 10.1109/TC.2009.118

Y. Li, O. Mutlu, and S. Mitra, Operating system scheduling for efficient online self-test in robust systems Computer-Aided Design -Digest of Technical Papers, IEEE/ACM International Conference on, pp.201-208, 2009.

T. Nakagawa and K. Yasui, Optimal testing-policies for intermittent faults, IEEE Transactions on Reliability, vol.38, issue.5, pp.577-580, 1989.
DOI : 10.1109/24.46484

S. Su, I. Koren, and Y. Malaiya, A Continuous-Parameter Markov Model and Detection Procedures for Intermittent Faults, IEEE Transactions on Computers, vol.27, issue.6, pp.567-570, 1978.
DOI : 10.1109/TC.1978.1675148

A. Paschalis and D. Gizopoulos, Effective software-based self-test strategies for online periodic testing of embedded processors, IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, vol.11, pp.578-583, 2004.

N. Ventroux, Contrôle en ligne des systèmes multiprocesseurs hétérogènes embarqués : élaboration et validation d'une architecture, Thèse de doct, 2006.

F. Chang, C. Chen, and C. Lu, A linear-time component-labeling algorithm using contour tracing technique, Computer Vision and Image Understanding, vol.93, issue.2, pp.206-220, 2004.
DOI : 10.1016/j.cviu.2003.09.002

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.95.6330

N. Ventroux, A. Guerre, T. Sassolas, and L. Moutaoukil, SESAM, Computer and Information Technology, International Conference on 0, pp.1880-1886, 2010.
DOI : 10.1201/b15268-5

T. Murata, Petri nets: Properties, analysis and applications, Proceedings of the IEEE, pp.541-580, 2002.
DOI : 10.1109/5.24143

J. Hildebrandt, F. Golatowski, and D. Timmermann, Scheduling coprocessor for enhanced least-laxity-first scheduling in hard real-time systems, Proceedings of 11th Euromicro Conference on Real-Time Systems. Euromicro RTS'99, pp.208-215, 1999.
DOI : 10.1109/EMRTS.1999.777467

O. S. Initiative, SystemC community, 2006.

R. Azevedo, S. Rigo, M. Bartholomeu, and G. Araujo, The ArchC Architecture Description Language and Tools, International Journal of Parallel Programming, vol.33, issue.5, pp.453-484, 2005.
DOI : 10.1007/s10766-005-7301-0

O. Sinnen, Task Scheduling for Parallel Systems (Wiley Series on Parallel and Distributed Computing) Wiley-Interscience, 2007.

J. Sgall, On-line scheduling Online Algorithms, Lecture Notes in Computer Science, vol.10, 1007.

J. Guilhemsang, O. Heron, N. Ventroux, and A. Giulieri, Impact of the application activity on intermittent faults in embedded systems, 29th VLSI Test Symposium, 2011.
DOI : 10.1109/VTS.2011.5783782

O. Heron, J. Guilhemsang, N. Ventroux, and A. Giulieri, Analysis of on-line self-testing policies for real-time embedded multiprocessors in DSM technologies, 2010 IEEE 16th International On-Line Testing Symposium, 2010.
DOI : 10.1109/IOLTS.2010.5560235

URL : https://hal.archives-ouvertes.fr/hal-00519336

J. Guilhemsang, O. Heron, N. Ventroux, and A. Giulieri, On-line pseudo-periodic testing for embedded multiprocessor, European Test Symposium (ETS'10)
URL : https://hal.archives-ouvertes.fr/hal-00519334

. Workshop-paper, Session 6B : Fault Tolerance and Online Testing, 2010.

J. Guilhemsang, O. Heron, N. Ventroux, and A. Giulieri, Emphasis on the existence of intermittent faults in embedded systems, IEEE International Workshop on Defect & Data-Driven Testing (D3T), 2010.
URL : https://hal.archives-ouvertes.fr/hal-00524939

J. Guilhemsang, O. Heron, N. Ventroux, and A. Giulieri, Aging Induced failures analysis in RISC-based processor cores, ACACES 2009 -Poster Abstracts, pp.307-310, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00524968

J. Comité-de-lecture, O. Guilhemsang, N. Heron, A. Ventroux, and . Giulieri, Impact of the application activity on intermittent faults in embedded systems, Publications personnelles Conférences internationales avec actes et IEEE VLSI Test Symposium (VTS)

O. Heron, J. Guilhemsang, N. Ventroux, and A. Giulieri, Analysis of on-line self-testing policies for real-time embedded multiprocessors in DSM technologies, 2010 IEEE 16th International On-Line Testing Symposium, 2010.
DOI : 10.1109/IOLTS.2010.5560235

URL : https://hal.archives-ouvertes.fr/hal-00519336

C. Comité-de-lecture, J. Guilhemsang, O. Heron, N. Ventroux, A. J. Giulieri et al., On-line pseudo-periodic testing for embedded multiprocessor European Test Symposium (ETS'10) Workshop- Paper, Session 6B : Fault Tolerance and Online Testing Emphasis on the existence of intermittent faults in embedded systems, Workshop avec comité de lecture IEEE International Workshop on Defect & Data-Driven Testing (D3T), 2010.

J. Communications-sans-actes-et-sans-comité-de-lecture, O. Guilhemsang, N. Heron, A. Ventroux, and . Giulieri, Aging Induced failures analysis in RISC-based processor cores, ACACES 2009 -Poster Abstracts. Barcelona, Spain : High Performance, Embedded Architecture et Compilation, pp.307-310, 2009.