S. De-propriétés-9, CONCLUSION 9.7 Conclusion Bibliography [1] Leakage current: Moore's law meets static power, Computer, vol.7, issue.3612, pp.68-75, 2003.

A. Abdallah, Modélisation UML/MARTE et Analyse Temporelle pour une Exploration Architecturale des Systemes-sur-Puce, p.75, 2009.
DOI : 10.3166/tsi.30.1089-1113

A. Abdallah, A. Gamatié, and J. Dekeyser, Modélisation uml/marte de soc et analyse temporelle basée sur l'approche synchrone, SympA'13: SYMPosium en Architecture de machines, p.82, 2009.
DOI : 10.3166/tsi.30.1089-1113

A. Abdallah, A. Gamatié, and J. Dekeyser, MARTE-based design of a multimedia application and formal analysis, 2008 Forum on Specification, Verification and Design Languages, p.87, 2008.
DOI : 10.1109/FDL.2008.4641439

URL : https://hal.archives-ouvertes.fr/inria-00567972

A. Abdallah, A. Gamatie, and J. Dekeyser, Model-Driven Design of Embedded Multimedia Applications on SoCs, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, pp.207-210, 2009.
DOI : 10.1109/DSD.2009.171

A. Abdallah, A. Gamatié, and J. Dekeyser, Correct and energy-efficient design of SoCs: The H.264 encoder case study, 2010 International Symposium on System on Chip, p.82, 2010.
DOI : 10.1109/ISSOC.2010.5625558

URL : https://hal.archives-ouvertes.fr/inria-00522792

O. Adeluyi, E. Ok-kim, J. Lee, and J. Lee, The use of fair y-sim for optimizing mapping set selection in hardware/software co-design, ISOCC '08: SoC Design Conference, pp.174-178, 2008.

R. M. Akli, Optimisation multicritère pour le placement d'applications intensives sur système-sur-puce (soc), p.69, 2010.

C. André, F. Mallet, and R. Simone, Time Modeling in MARTE. In FDL'07: ECSI Forum on specification & Design Languages, pp.268-273

F. Balarin, Y. Watanabe, H. Hsieh, L. Lavagno, C. Passerone et al., Metropolis: an integrated electronic system design environment, Computer, vol.36, issue.4, pp.45-52, 2003.
DOI : 10.1109/MC.2003.1193228

D. Bautista, J. Sahuquillo, H. Hassan, S. Petit, and J. Duato, Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption, Proceedings of the 2010 ACM Symposium on Applied Computing, SAC '10, pp.521-526, 2010.
DOI : 10.1145/1774088.1774195

R. and B. Atitallah, Modèle et Simulation des système sur purce multiprocesseurs -Estimation des performances et de la consommation d'énergie, pp.61-68, 2008.

L. Benini, A. Bogliolo, and G. D. Micheli, System-level dynamic power management, Proceedings IEEE Alessandro Volta Memorial Workshop on Low-Power Design, pp.23-43, 1999.
DOI : 10.1109/LPD.1999.750384

A. Benveniste, P. Caspi, S. Edwards, N. Halbwachs, P. L. Guernic et al., The synchronous languages twelve years later, Proc. of IEEE, pp.64-83, 2003.

A. Benveniste, P. L. Guernic, and C. Jacquemot, Synchronous programming with events and relations: the SIGNAL language and its semantics, Science of Computer Programming, vol.16, issue.2, pp.103-149, 1991.
DOI : 10.1016/0167-6423(91)90001-E

L. Besnard, T. Gautier, and P. L. Guernic, Signal reference manual

S. L. Beux, Un flot de conception pour applications de traitement du signal systématique implémentées sur FPGA à base d'Ingénierie Dirigée par les Modèles, p.69, 2007.

J. Bézivin, On the unification power of models, SoSym'05: Software and System Modeling, pp.171-188, 2005.
DOI : 10.1109/MS.2003.1231147

N. Bombieri, F. Fummi, G. Pravadelli, and J. Marques-silva, Towards Equivalence Checking Between TLM and RTL Models, 2007 5th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE 2007), pp.113-122, 2007.
DOI : 10.1109/MEMCOD.2007.371236

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.113.5376

J. Boucaron, R. De-simone, and J. Millo, Formal methods for scheduling of latencyinsensitive designs, EURASIP Journal on Embedded Systems, vol.45, issue.8, p.46, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00784464

S. Boukhechem, Contribution à la mise en place d'une plateforme open-source MPSoC sous SystemC pour la Co-simulation d'architectures hétérogènes, p.17, 2008.

P. Boulet, Formal Semantics of Array-OL, a Domain Specific Language for Intensive Multidimensional Signal Processing, p.58, 2008.
URL : https://hal.archives-ouvertes.fr/inria-00261178

D. M. Buede, The Engineering Design of Systems: Models and Methods, p.22, 2009.
DOI : 10.1002/9780470413791

F. Campi, R. König, M. Dreschmann, M. Neukirchner, D. Picard et al., RTL-to-layout implementation of an embedded coarse grained architecture for dynamically reconfigurable computing in systems-on-chip, 2009 International Symposium on System-on-Chip, pp.110-113, 2009.
DOI : 10.1109/SOCC.2009.5335665

URL : https://hal.archives-ouvertes.fr/hal-00518128

J. Ceng, W. Sheng, J. Castrillon, A. Stulova, R. Leupers et al., A high-level virtual platform for early MPSoC software development, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, pp.11-20, 2009.
DOI : 10.1145/1629435.1629438

R. Chakraborty and D. R. Chowdhury, A hierarchical approach towards system level static timing verification of SoCs, 2009 IEEE International Conference on Computer Design, pp.201-206, 2009.
DOI : 10.1109/ICCD.2009.5413155

A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, Low-power CMOS digital design, IEEE Journal of Solid-State Circuits, vol.27, issue.4, pp.473-484, 1995.
DOI : 10.1109/4.126534

E. Cheung, H. Hsieh, and F. Balarin, Fast and accurate performance simulation of embedded software for MPSoC, 2009 Asia and South Pacific Design Automation Conference, pp.552-557, 2009.
DOI : 10.1109/ASPDAC.2009.4796538

A. Cohen, M. Duranton, C. Eisenbeis, C. Pagetti, F. Plateau et al., N-synchronous Kahn networks, PoPL'06: ACM Symp. on Principles of Programming Languages, pp.45-46, 2006.
DOI : 10.1145/1111037.1111054

URL : https://hal.archives-ouvertes.fr/hal-01257286

A. Cohen, L. Mandel, F. Plateau, and M. Pouzet, Abstraction of Clocks in Synchronous Data-Flow Systems, APLAS '08: Proceedings of the 6th Asian Symposium on Programming Languages and Systems, pp.237-254, 2008.
DOI : 10.1016/0167-6423(91)90001-E

URL : https://hal.archives-ouvertes.fr/hal-01257274

D. Cordes, P. Marwedel, and A. Mallik, Automatic parallelization of embedded software using hierarchical task graphs and integer linear programming, Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES/ISSS '10, pp.267-276, 2010.
DOI : 10.1145/1878961.1879009

R. Corvino, A. Gamatié, and P. Boulet, Architecture Exploration for Efficient Data Transfer and Storage in Data-Parallel Applications, EuroPar'10: Proceedings of the 16th international Euro-Par conference on Parallel processing: Part I, pp.101-116, 2010.
DOI : 10.1007/978-3-642-15277-1_11

URL : https://hal.archives-ouvertes.fr/inria-00522786

M. Crepaldi, M. R. Casu, M. Graziano, and M. Zamboni, An effective AMS Top-Down Methodology Applied to the Design of a Mixed-Signal UWB System-on-Chip, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1424-1429, 2007.
DOI : 10.1109/DATE.2007.364498

J. P. David and E. Bergeron, A step towards intelligent translation from high-level design to RTL, 4th IEEE International Workshop on System-on-Chip for Real-Time Applications, pp.183-188, 2004.
DOI : 10.1109/IWSOC.2004.1319875

G. D. , S. Abdi, A. Gerstlauer, and G. Schirner, Embedded System Design: Modeling, Synthesis and Verification, p.23, 2009.

F. De-dinechin, Systèmes structurés d'équations récurrentes : mise en oeuvre dans le langage Alpha et applications Thèse de doctorat, université de Rennes I, 1997. MMAlpha available at, p.31

A. Demeure and Y. D. Gallo, An array approach for signal processing design, Sophia- Antipolis conference on Micro-Electronics (SAME'98), System-on-Chip Session, p.78, 1998.

A. Demeure, A. Lafage, E. Boutillon, D. Rozzonelli, J. Dufourd et al., Array-OL : Proposition d'un formalisme tableau pour le traitement de signal multi-dimensionnel, Colloque GRETSI sur le Traitement du Signal et de l'Image, p.27, 1995.

N. A. Doan, F. Robert, Y. D. Smet, and D. Milojevic, MCDA-based methodology for efficient 3D-design space exploration and decision, 2010 International Symposium on System on Chip, pp.76-83, 2010.
DOI : 10.1109/ISSOC.2010.5625544

A. Donlin, Transaction level modeling, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, pp.75-80, 2004.
DOI : 10.1145/1016720.1016742

. Eclipse, Eclipse Modeling Framework

B. A. Faten, Étude et optimisation de l'interaction processeurs-architectures reconfigurables dynamiquement, p.36, 2009.

K. Flautner, Automatic monitoring for interactive performance and power reduction, pp.3000950-3000993, 2001.

M. Flynn, Some Computer Organizations and Their Effectiveness, IEEE Transactions on Computers, vol.21, issue.9, p.37, 1972.
DOI : 10.1109/TC.1972.5009071

J. Forget, F. Boniol, D. Lesens, and C. Pagetti, Implementing Multi-Periodic Critical Systems: from Design to Code Generation, FMA, pp.34-48, 2009.
DOI : 10.4204/EPTCS.20.4

URL : https://hal.archives-ouvertes.fr/hal-00800990

F. Semiconductor, Mc1323x 2.4 ghz ieee 802.15.4/zigbee system-on-chip solution, p.14, 2010.

D. D. Gajski and R. H. Kuhn, Guest Editors' Introduction: New VLSI Tools, Computer, vol.16, issue.12, pp.11-14, 1983.
DOI : 10.1109/MC.1983.1654264

A. Gamatié, Designing Embedded Systems with the SIGNAL Programming Language: Synchronous , Reactive Specification, p.35, 2010.
DOI : 10.1007/978-1-4419-0941-1

A. Gamatié, S. L. Beux, E. Piel, R. B. Atitallah, A. Etien et al., A Model-Driven Design Framework for Massively Parallel Embedded Systems, ACM Transactions on Embedded Computing Systems, vol.10, issue.4, p.61, 2010.
DOI : 10.1145/2043662.2043663

A. Gamatié, T. Gautier, P. L. Guernic, and J. Talpin, Polychronous design of embedded real-time applications, ACM Transactions on Software Engineering and Methodology, vol.16, issue.2, p.114, 2007.
DOI : 10.1145/1217295.1217298

J. Gaudiot, T. Deboni, J. Feo, W. Böhm, W. Najjar et al., The Sisal model of functional programming and its implementation, Proceedings of IEEE International Symposium on Parallel Algorithms Architecture Synthesis, pp.112-138, 1997.
DOI : 10.1109/AISPAS.1997.581640

A. Gill, Introduction to the theory of finite-state machines. McGraw-Hill electronic sciences series, p.28, 1962.

C. Glitia, J. Deantoni, and F. Mallet, Logical time at work: capturing data dependencies and platform constraints. In FDL'10: Forum for Design Languages, Proceedings of the 2010 Forum on specification & Design Languages, pp.240-246
URL : https://hal.archives-ouvertes.fr/inria-00545866

P. Grosse, Y. Durand, and P. Feautrier, Methods for power optimization in SOC-based data flow systems, ACM Transactions on Design Automation of Electronic Systems, vol.14, issue.3, pp.1-20, 2009.
DOI : 10.1145/1529255.1529260

H. Yu, A MARTE based reactive model for data-parallel intensive processing: Transformation toward the synchronous model, p.68, 2008.

A. Habibi and S. Tahar, A survey on system-on-a-chip design, Proceedings 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, pp.212-215, 2003.

S. Han, S. Chae, L. Brisolara, L. Carro, K. Popovici et al., Simulink??-based heterogeneous multiprocessor SoC design flow for mixed hardware/software refinement and simulation, Integration, the VLSI Journal, vol.42, issue.2, pp.227-245, 2009.
DOI : 10.1016/j.vlsi.2008.08.003

. Ieee, Std 1076-2000: IEEE Standard VHDL Language Reference Manual, 1921.

R. Jejurikar, C. Pereira, and R. Gupta, Leakage aware dynamic voltage scaling for real-time embedded systems, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.275-280, 2004.
DOI : 10.1145/996566.996650

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.387.1376

M. Jung, Y. R. Seong, and C. Lee, Optimal RM scheduling for simply periodic tasks on uniform multiprocessors, Proceedings of the 2009 International Conference on Hybrid Information Technology, ICHIT '09, pp.383-389, 2009.
DOI : 10.1145/1644993.1645064

G. Kahn, The Semantics of a Simple Language for Parallel Programming, Information Processing '74: Proceedings of the IFIP Congress, pp.471-475, 1974.

T. Kangas, P. Kukkala, H. Orsila, E. Salminen, M. Hännikäinen et al., UML-based multiprocessor SoC design framework, ACM Transactions on Embedded Computing Systems, vol.5, issue.2, pp.281-320, 1928.
DOI : 10.1145/1151074.1151077

L. Karam, I. Alkamal, A. Gatherer, G. Frantz, D. Anderson et al., Trends in multicore DSP platforms, IEEE Signal Processing Magazine, vol.26, issue.6, pp.38-49, 2009.
DOI : 10.1109/MSP.2009.934113

J. Keinert, M. Streub&uhorbar-;-hr, T. Schlichter, J. Falk, J. Gladigau et al., SystemCoDesigner???an automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications, ACM Transactions on Design Automation of Electronic Systems, vol.14, issue.1
DOI : 10.1145/1455229.1455230

. Autom, . Electron, and . Syst, Available at, pp.1-23, 2009.

C. Kuo, Y. Wang, and C. J. Liu, An efficient bottom-up extraction approach to build accurate PLL behavioral models for SOC designs, Proceedings of the 15th ACM Great Lakes symposium on VLSI , GLSVSLI '05, pp.286-290, 2005.
DOI : 10.1145/1057661.1057730

L. Lamport, Time, clocks, and the ordering of events in a distributed system, Communications of the ACM, vol.21, issue.7, pp.558-565, 1978.
DOI : 10.1145/359545.359563

E. Lee, C. Hylands, J. Janneck, J. Davis, I. et al., Overview of the Ptolemy Project, 2001.

E. A. Lee and D. , Static Scheduling of Synchronous Data Flow Programs for Digital Signal Processing, IEEE Transactions on Computers, vol.36, issue.1, pp.24-35, 1987.
DOI : 10.1109/TC.1987.5009446

E. A. Lee and D. G. Messerschmitt, Synchronous data flow, Proceedings of the IEEE, vol.75, issue.9, pp.310-315, 1987.
DOI : 10.1109/PROC.1987.13876

C. L. Liu and J. W. Layland, Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment, Journal of the ACM, vol.20, issue.1, pp.46-61, 1973.
DOI : 10.1145/321738.321743

F. Mallet, Clock constraint specification language: specifying clock constraints with UML/MARTE, Innovations in Systems and Software Engineering, pp.309-314, 2008.
DOI : 10.1007/s11334-008-0055-2

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.232.5738

G. Martin and S. Leibson, Commercial Configurable Processors and the MESCAL Approach, Building ASIPS: The Mescal Methodology, pp.281-310, 2005.
DOI : 10.1007/0-387-26128-1_8

. Mathworks, MATLAB?The Language Of Technical Computing, 1920.

J. L. Medina, M. G. Harbour, and J. M. Drake, The "UML Profile for Schedulability, Performance and Time" in the Schedulability Analysis and Modeling of Real-Time Distributed Systems, SIVOES-SPT Workshop78] A. MEENA. Allocation, Assignment and Scheduling for Multi-processor System on Chip, p.69, 2004.

H. Metivier, J. Talpin, T. Gautier, and P. L. Guernic, Distributed Embedded Systems: Design, Middleware and Resources, Analysis of Periodic Clock Relations in Polychronous Systems, p.45, 2008.

D. Milojevic, T. Carlson, K. Croes, R. Radojcic, D. F. Ragett et al., Automated Pathfinding tool chain for 3D-stacked integrated circuits: Practical case study, 2009 IEEE International Conference on 3D System Integration, pp.1-6, 2009.
DOI : 10.1109/3DIC.2009.5306587

P. Mishra, A. Shrivastava, and N. Dutt, Architecture description language (ADL)-driven software toolkit generation for architectural exploration of programmable SOCs, DAC '04: Proceedings of the 41st annual Design Automation Conference, pp.626-658, 2004.
DOI : 10.1145/1142980.1142985

B. C. Mochocki, K. Lahiri, S. Cadambi, and X. S. Hu, Signature-based workload estimation for mobile 3D graphics, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.592-597, 2006.
DOI : 10.1145/1146909.1147062

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.77.6849

J. E. Nielsen and K. S. Knudsen, MoVES -A tool for Modelling and Verification of Embedded Systems, 1993.

M. Object and . Group, Unified Modeling Language, Version 2.2, p.67, 2009.

O. Homepage, The OCaml language. http://caml.inria.fr/. 130 [89] OMG. Modeling and Analysis of Real-time and Embedded systems(MARTE)

E. Piel, Ordonnancement de systèmes parallèles temps-réel, Sciences et Technologies de Lille (USTL), p.61, 2007.

A. D. Pimentel, The Artemis workbench for system-level performance evaluation of embedded systems, International Journal of Embedded Systems, vol.3, issue.3, pp.181-196, 2008.
DOI : 10.1504/IJES.2008.020299

D. Potop-butucaru, R. D. Simone, J. Talpinr, and . Zurawski, The syncrhonous hypothesis and synchronous languages, Embedded Systems Handbook, p.78, 2005.

I. Quadri, MARTE based model driven design methodology for targeting dynamically reconfigurable FPGA based SoCs, p.69, 2010.
URL : https://hal.archives-ouvertes.fr/tel-00486483

I. R. Quadri, S. Meftali, and J. Dekeyser, High level modeling of Dynamic Reconfigurable FPGAs, International Journal of Reconfigurable Computing, vol.2009, issue.55, pp.1-15, 2009.
DOI : 10.1155/2008/793919

E. Riccobene, P. Scandurra, S. Bocchio, A. Rosti, L. Lavazza et al., SystemC/Cbased model-driven design for embedded systems, pp.1-37, 2009.

E. Riccobene, P. Scandurra, A. Rosti, and S. Bocchio, A model-driven design environment for embedded systems, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.915-918, 2006.
DOI : 10.1145/1146909.1147141

A. Richard, C. Hernalsteens, and F. Robert, Development and validation of Nessie: a multi-criteria performance estimation tool for SoC, 2009 Ph.D. Research in Microelectronics and Electronics, p.29, 2009.
DOI : 10.1109/RME.2009.5201349

J. Ricken, Testing the SOA domain model for a model driven and process-oriented SOA implementation, p.22, 2009.

L. Rioux, T. Saunier, S. Gérard, A. Radermacher, R. De-simone et al., MARTE: A New OMG Profile RFP for the Modeling and Analysis of Real-Time Embedded Systems, DAC 2005: Workshop UML for SoC Design, UML-SoC'05, p.56, 2005.

B. Ristau, T. Limberg, O. Arnold, and G. Fettweis, Dimensioning heterogeneous mpsocs via parallelism analysis European Design and Automation Association, DATE '09: Proceedings of the Conference on Design, Automation and Test in Europe, pp.554-557, 2009.
DOI : 10.1109/date.2009.5090725

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.150.7031

A. Sangiovanni-vincentelli, L. Carloni, F. De-bernardinis, and M. Sgroi, Benefits and challenges for platform-based design, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.409-414, 2004.
DOI : 10.1145/996566.996684

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.387.876

S. Krishnan, Cost Realities in Bringing a Chip to Market Available at: http: //www.gsaglobal.org/forum, p.17, 2010.

S. Scholz, Single Assignment C: efficient support for high-level array operations in a functional setting, Journal of Functional Programming, vol.13, issue.6, pp.1005-1059, 2003.
DOI : 10.1017/S0956796802004458

C. Seo, G. Edwards, D. Popescu, S. Malek, and N. Medvidovic, A framework for estimating the energy consumption induced by a distributed system's architectural style, Proceedings of the 8th international workshop on Specification and verification of component-based systems, SAVCBS '09, pp.27-34, 2009.
DOI : 10.1145/1596486.1596493

E. Sicard and S. B. Dhia, Dessin et simulation de fonctions de base en CMOS 90 nm, J3eA, vol.4, issue.423, pp.4-18, 2005.
DOI : 10.1051/bib-j3ea:2005723

A. Siebenborn, O. Bringmann, and W. , Communication analysis for system-on-chip design, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.10648-10693, 2004.
DOI : 10.1109/DATE.2004.1268918

G. S. Silveira, A. V. Brito, and E. U. Melcher, Functional verification of power gate design in SystemC RTL, Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design Chip on the Dunes, SBCCI '09, pp.1-525, 2009.
DOI : 10.1145/1601896.1601963

. Simulink, Simulation and Model-Based Design, 09 2010

I. Smarandache, Transformations affines d'horloges: application au codesign de systèmes temps rel en utilisant les langages SIGNAL et ALPHA, pp.45-113, 1941.

. Soclib and . Soclib, An open platform for modelling and simulation of multi-processors system on chip, p.61, 2009.

B. Stroustrup, The C++ Programming Language, p.20, 2000.

C. Studio, Cofluent design Available at: http://www.cofluentdesign.com, p.28, 2008.

T. Mens and P. Van-gorp, A Taxonomy of Model Transformation, GraMoT'05: Proceedings of the International Workshop on Graph and Model Transformation, pp.125-142, 2006.
DOI : 10.1016/j.entcs.2005.10.021

J. Taillard, Une approche orientée modèle pour la parallélisation d'un code de calcul éléments finis, p.69, 2009.

J. S. Tesnim-abdellatif and J. Combaz, Model-based implementation of real-time applications, Proceedings of the tenth ACM international conference on Embedded software, EMSOFT '10, p.48, 2010.
DOI : 10.1145/1879021.1879052

T. Instrument-corporation, Realizing full multicore entitlement Available at: http://focus, 2010.

T. Instruments-inc, Texas instruments incs, p.15

W. Thies, M. Karczmarek, M. Gordon, D. Maze, J. Wong et al., Streamit: A compiler for streaming applications, p.27, 2001.

D. Thomas and P. Moorby, The Verilog Hardware Description Language, 1921.

M. Thompson, H. Nikolov, T. Stefanov, A. D. Pimentel, C. Erbas et al., A framework for rapid system-level exploration, synthesis, and programming of multimedia MP-SoCs, Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis , CODES+ISSS '07, pp.9-14, 2007.
DOI : 10.1145/1289816.1289823

P. Urard, A. Maalej, R. Guizzetti, and N. Chawla, Leveraging sequential equivalence checking to enable system-level to RTL flows, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.816-821, 2008.
DOI : 10.1145/1391469.1391677

B. Vallet and B. Lévy, Spectral Geometry Processing with Manifold Harmonics, Computer Graphics Forum, vol.27, issue.2, 2008.
DOI : 10.1111/j.1467-8659.2008.01122.x

URL : https://hal.archives-ouvertes.fr/inria-00186931

A. Viehl, M. Pressler, and O. Bringmann, Bottom-up performance analysis considering time slice based software scheduling at system level, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, pp.423-432, 2009.
DOI : 10.1145/1629435.1629493

G. K. Wallace, The JPEG still picture compression standard, Communications of the ACM, vol.34, issue.4, pp.30-44, 1991.
DOI : 10.1145/103085.103089

R. Watanabe, M. Kondo, M. Imai, H. Nakamura, and T. Nanya, Task Scheduling under Performance Constraints for Reducing the Energy Consumption of the GALS Multi-Processor SoC, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.797-802, 2007.
DOI : 10.1109/DATE.2007.364388

. Wikipedia, Arrivé avant, p.35

. Wikipedia, System on Chip, p.14

D. Wilde, The alpha language Available at www.irisa.fr/bibli, Technical Report, vol.827827, 1994.

W. Wolf, A. A. Jerraya, and G. Martin, Multiprocessor System-on-Chip (MPSoC) Technology, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, issue.10, pp.1701-1713, 2008.
DOI : 10.1109/TCAD.2008.923415

Z. Xiong, S. Li, and J. Chen, Hardware/software co-design using hierarchical platformbased design method, ASP-DAC'05: Proceedings of the 2005 Asia and South Pacific Design Automation Conference, pp.1309-1312, 2005.
DOI : 10.1145/1120725.1121050

H. Yang and S. Ha, Pipelined data parallel task mapping/scheduling technique for mpsoc European Design and Automation Association, Proceedings of the Conference on Design, Automation and Test in Europe, DATE '09, pp.69-74, 2009.

H. Yu, A. Gamatié, E. Rutten, and J. Dekeyser, Safe design of high-performance embedded systems in an MDE framework, Innovations in Systems and Software Engineering (ISSE), pp.215-222, 2008.
DOI : 10.1007/s11334-008-0059-y

W. Zhu, V. C. Sreedhar, Z. Hu, and G. R. Gao, Synchronization state buffer: supporting efficient fine-grain synchronization on many-core architectures, ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture, pp.35-45, 2007.

Y. Zhu and F. Mueller, Exploiting synchronous and asynchronous DVS for feedback EDF scheduling on an embedded platform, ACM Transactions on Embedded Computing Systems, vol.7, issue.1, pp.1-26, 2007.
DOI : 10.1145/1324969.1324972

A. Abdallah, A. Gamatié, and J. Dekeyser, Modélisation UML/MARTE de SoC et analyse temporelle basée sur l'approche synchrone, Technique et science informatiques (TSI), 2010.
DOI : 10.3166/tsi.30.1089-1113

A. Abdallah, A. Gamatié, and J. Dekeyser, Correct and energy-efficient design of SoCs: The H.264 encoder case study, 2010 International Symposium on System on Chip, 2010.
DOI : 10.1109/ISSOC.2010.5625558

URL : https://hal.archives-ouvertes.fr/inria-00522792

A. Abdallah, High-Level SoC Specification Towards a Design Space Exploration : Downscaler Case Study, EuroDocInfo10, 2010.

A. Abdallah, A. Gamatié, and J. Dekeyser, Model-Driven Design of Embedded Multimedia Applications on SoCs, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, 2009.
DOI : 10.1109/DSD.2009.171

A. Abdallah, A. Gamatié, and J. Dekeyser, MARTE-based design of a multimedia application and formal analysis, 2008 Forum on Specification, Verification and Design Languages, 2008.
DOI : 10.1109/FDL.2008.4641439

URL : https://hal.archives-ouvertes.fr/inria-00567972

A. Abdallah, A. Gamatié, and J. Dekeyser, Modélisation UML/MARTE de SoC et analyse temporelle basée sur l'approche synchrone, Symposium en Architecture de Machines (SYMPA'13), 2009.