Radio frequency rectifiers based on organic thin-film transistors, Applied Physics Letters, vol.88, issue.12, pp.88-89, 2006. ,
DOI : 10.1063/1.2186384
A Radiation Hardened Nano-Power 8Mb SRAM in 130nm CMOS, 9th International Symposium on Quality Electronic Design (isqed 2008), pp.23-29, 2008. ,
DOI : 10.1109/ISQED.2008.4479692
Soc power-reduction techniques, Solid-State Circuits Conference, 2008. ,
Low-power CMOS digital design, IEEE Journal of Solid-State Circuits, vol.27, issue.4, pp.473-484, 1995. ,
DOI : 10.1109/4.126534
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.136.1616
A 360mW 105Mb/s DVB-S2 Compliant Codec based on 64800b LDPC and BCH Codes enabling Satellite-Transmission Portable Devices, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.310-311, 2008. ,
DOI : 10.1109/ISSCC.2008.4523181/mm1
Ion-implanted complementary mos transistors in low-voltage circuits Solid-State Circuits, IEEE Journal, vol.7, issue.2, pp.146-153, 1972. ,
Cmos analog integrated circuits based on weak inversion operations Solid-State Circuits, IEEE Journal, vol.12, issue.3 2, pp.224-231, 1977. ,
Design of low voltage CMOS circuits, Tutorial Guide. ISCAS 2001. IEEE International Symposium on Circuits and Systems (Cat. No.01TH8573), pp.3-5, 2001. ,
DOI : 10.1109/TUTCAS.2001.946950
Design of an energy-aware system-in-package for playing MP3 in wearable computing devices, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings., pp.35-38, 2003. ,
DOI : 10.1109/SOC.2003.1241457
Design of an energy harvesting conditioning unit for hearing aids, 2008 30th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, pp.2310-2313, 2008. ,
DOI : 10.1109/IEMBS.2008.4649660
Standby power reduction techniques for ultra-low power processors, Solid-State Circuits Conference, pp.186-189, 2008. ,
July) Ultra-low voltage dc-dc converter capability. Freescale. Ultra-Low Voltage DC-DC Converter, 2009. ,
Rotating and Gyroscopic MEMS Energy Scavenging, International Workshop on Wearable and Implantable Body Sensor Networks (BSN'06), pp.4-45, 2006. ,
DOI : 10.1109/BSN.2006.46
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.582.7659
Leakage current mechanisms and leakage reduction techniques in deepsubmicrometer cmos circuits, Proceedings of the IEEE, pp.305-327, 2003. ,
DOI : 10.1109/jproc.2002.808156
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.318.6929
Monte carlo simulation of band-to-band tunneling in silicon devices, Japanese Journal of Applied Physics, vol.4646, issue.4B 7, pp.2023-2026, 2007. ,
Optimum halo structure for sub-0.1 mu ;m cmosfets, Electron Devices, pp.2357-2362, 2001. ,
Active mode leakage reduction using fine-grained forward body biasing strategy, Low Power Electronics and Design Proceedings of the 2004 International Symposium on, aug, pp.150-155, 2004. ,
DOI : 10.1016/j.vlsi.2006.12.003
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.387.3066
32nm high K metal gate (HKMG) designs for low power applications, 2008 International SoC Design Conference, pp.68-69, 2008. ,
DOI : 10.1109/SOCDC.2008.4815574
Gate-Induced-Drain-Leakage Current in 45-nm CMOS Technology, IEEE Transactions on Device and Materials Reliability, vol.8, issue.3, pp.501-508, 2008. ,
DOI : 10.1109/TDMR.2008.2002350
A new punchthrough current model based on the voltage-doping transformation, Electron Devices, pp.1076-1086, 1988. ,
DOI : 10.1109/16.3367
Analysis and optimization of sleep modes in subthreshold circuit design, Design Automation Conference DAC '07. 44th ACM, pp.694-699, 2007. ,
Nanometer device scaling in subthreshold circuits, Design Automation Conference DAC '07, pp.700-705, 2007. ,
Ultralowvoltage , minimum-energy cmos, IBM J. RES. & DEV, vol.90, issue.12, pp.469-489, 2006. ,
DOI : 10.1147/rd.504.0469
Energy Efficient Design for Subthreshold Supply Voltage Operation, 2006 IEEE International Symposium on Circuits and Systems, pp.4-32, 2006. ,
DOI : 10.1109/ISCAS.2006.1692514
Low power circuits research at the university of michigan, p.36, 2006. ,
The fundamental limit on binary switching energy for terascale integration (tsi), " Solid-State Circuits, IEEE Journal, vol.35, issue.10, pp.1515-1516, 2000. ,
Process variability at the 65nm node and beyond, 2008 IEEE Custom Integrated Circuits Conference, pp.1-8, 2008. ,
DOI : 10.1109/CICC.2008.4672005
Variation in Transistor Performance and Leakage in Nanometer-Scale Technologies, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.131-144, 2008. ,
DOI : 10.1109/TED.2007.911351
Analysis and mitigation of variability in subthreshold design, Proceedings of the 2005 international symposium on Low power electronics and design , ISLPED '05, pp.20-25, 2005. ,
DOI : 10.1145/1077603.1077610
Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.163-174, 2008. ,
DOI : 10.1109/TED.2007.911352
Energy optimality and variability in subthreshold design, Proceedings of the 2006 international symposium on Low power electronics and design , ISLPED '06, pp.363-365, 2006. ,
DOI : 10.1145/1165573.1165660
Matching properties of mos transistors, pp.1433-1439, 1989. ,
A variation-tolerant sub-threshold design approach, Proceedings. 42nd Design Automation Conference, 2005., pp.716-719, 2005. ,
DOI : 10.1109/DAC.2005.193905
Process-Tolerant Ultralow Voltage Digital Subthreshold Design, 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, pp.42-45, 2008. ,
DOI : 10.1109/SMIC.2008.17
Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS, Proceedings of the IEEE, vol.96, issue.2, pp.343-365, 2008. ,
DOI : 10.1109/JPROC.2007.911072
Ultra-low voltage vlsi : are we there yet ? " in Circuits and Systems, ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, pp.4-24, 2006. ,
DOI : 10.1109/iscas.2006.1692512
Towards an energy complexity of computation, Information Processing Letters, vol.77, issue.2-4, pp.181-187, 2001. ,
DOI : 10.1016/S0020-0190(00)00214-3
Methods for true energy-performance optimization Solid- State Circuits, IEEE Journal, vol.39, issue.8, pp.1282-1293, 2004. ,
DOI : 10.1109/jssc.2004.831796
A feasibility study of subthreshold SRAM across technology generations, 2005 International Conference on Computer Design, pp.417-422, 2005. ,
DOI : 10.1109/ICCD.2005.7
Ultralow Power Computing with Sub-threshold Leakage: A Comparative Study of Bulk and SOI Technologies, Proceedings of the Design Automation & Test in Europe Conference, pp.1-6, 2006. ,
DOI : 10.1109/DATE.2006.243768
Expanding opportunities of Ultra Low Power and harsh applications with Fully Depleted (FD) SOI (invited), 2009 IEEE International SOI Conference, pp.1-3, 2009. ,
DOI : 10.1109/SOI.2009.5318749
Sub-45nm fullydepleted soi cmos subthreshold logic for ultra-low-power applications, SOI Conference, pp.57-58, 2008. ,
DOI : 10.1109/soi.2008.4656292
Double Gate-MOSFET Subthreshold Circuit for Ultralow Power Applications, IEEE Transactions on Electron Devices, vol.51, issue.9, pp.1468-1474, 2004. ,
DOI : 10.1109/TED.2004.833965
Analysis of Subthreshold Finfet Circuits for Ultra-Low Power Design, 2006 IEEE International SOC Conference, pp.91-92, 2006. ,
DOI : 10.1109/SOCC.2006.283853
Low power circuit design based on heterojunction tunneling transistors (HETTs), Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, pp.219-224, 2009. ,
DOI : 10.1145/1594233.1594287
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.469.8357
Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits, Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, pp.21-26, 2009. ,
DOI : 10.1145/1594233.1594240
Optimized circuit styles for subthreshold logic, International Electro Conference, 2005. ,
Sub-Domino logic: ultra-low power dynamic sub-threshold digital logic, VLSI Design 2001. Fourteenth International Conference on VLSI Design, pp.211-214, 2001. ,
DOI : 10.1109/ICVD.2001.902662
Robust subthreshold logic for ultra-low power operation Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.9, issue.1, pp.90-99, 2001. ,
Ultra low power subthreshold MOS current mode logic circuits using a novel load device concept, ESSCIRC 2007, 33rd European Solid-State Circuits Conference, pp.304-307, 2007. ,
DOI : 10.1109/ESSCIRC.2007.4430304
Ultra-low-power dlms adaptive filter for hearing aid applications Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.6, pp.1058-1067, 2003. ,
DOI : 10.1109/tvlsi.2003.819573
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.109.7763
Gate leakage reduction for scaled devices using transistor stacking Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.4, pp.716-730, 2003. ,
Modeling and sizing for minimum energy operation in subthreshold circuits Solid-State Circuits, IEEE Journal, vol.40, issue.9, pp.1778-1786, 1920. ,
Analysis and minimization of practical energy in 45nm subthreshold logic circuits, 2008 IEEE International Conference on Computer Design, pp.294-300, 2008. ,
DOI : 10.1109/ICCD.2008.4751876
Logical effort : designing fast CMOS circuits, p.36, 1999. ,
Subthreshold logical effort, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.425-428, 2006. ,
DOI : 10.1145/1146909.1147022
Characterizing and modeling minimum energy operation for subthreshold circuits, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.90-95, 2004. ,
DOI : 10.1145/1013235.1013265
Leakage issues in ic design :trends, estimation and avoidance, Proceedings of 138 BIBLIOGRAPHIE BIBLIOGRAPHIE the International Conference on Computer Aided Design, p.11, 2003. ,
Robust Ultra-Low Power Subthreshold Logic Flip-Flop Design for Reconfigurable Architectures, 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006), pp.1-7, 2006. ,
DOI : 10.1109/RECONF.2006.307764
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits, 2007 44th ACM/IEEE Design Automation Conference, pp.103-106, 2007. ,
DOI : 10.1109/DAC.2007.375133
A New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler, 2006 13th IEEE International Conference on Electronics, Circuits and Systems, pp.902-905, 2006. ,
DOI : 10.1109/ICECS.2006.379935
Comparative Analysis of Ultra-Low Voltage Flip-Flops for Energy Efficiency, 2007 IEEE International Symposium on Circuits and Systems, pp.1173-1176, 2007. ,
DOI : 10.1109/ISCAS.2007.378259
Three Subthreshold Flip-Flop Cells Characterized in 90 nm and 65 nm CMOS Technology, 2008 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, pp.1-4, 2008. ,
DOI : 10.1109/DDECS.2008.4538745
Utilizing reverse shortchannel effect for optimal subthreshold circuit design Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.15, issue.7, pp.821-829, 1922. ,
An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment, 2007 IEEE Symposium on VLSI Circuits, pp.256-257, 2007. ,
DOI : 10.1109/VLSIC.2007.4342741
A 256 kb 65 nm 8t subthreshold sram employing sense-amplifier redundancy Solid-State Circuits, IEEE Journal, vol.43, issue.1, pp.141-149, 1922. ,
DOI : 10.1109/jssc.2007.908005
65NM sub-threshold 11T-SRAM for ultra low voltage applications, 2008 IEEE International SOC Conference, pp.113-118, 2008. ,
DOI : 10.1109/SOCC.2008.4641491
A 256-kb 65-nm sub-threshold sram design for ultra-low-voltage operation Solid-State Circuits, IEEE Journal, vol.42, issue.22, pp.680-688, 2007. ,
A 160 mv robust schmitt trigger based subthreshold sram Solid-State Circuits, IEEE Journal, vol.42, issue.22, pp.2303-2313, 2007. ,
DOI : 10.1109/jssc.2007.897148
A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.388-622, 2008. ,
DOI : 10.1109/ISSCC.2008.4523220/mm1
Ultra-low voltage nanoscale embedded rams, Circuits and Systems ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, pp.4-28, 2006. ,
DOI : 10.1109/newcas.2006.250895
Sram word-oriented redundancy methodology using built in self-repair, SOC Conference Proceedings. IEEE International, pp.219-222, 2004. ,
A robust, input voltage adaptive and low energy consumption level converter for sub-threshold logic, Solid State Circuits Conference, pp.312-315, 2007. ,
Robust level converter design for sub-threshold logic Low Power Electronics and Design, Proceedings of the 2006 International Symposium on, pp.14-19, 1923. ,
Efficiency of body biasing in 90-nm cmos for low-power digital circuits Solid-State Circuits, IEEE Journal, vol.40, issue.7, pp.1549-1556, 1924. ,
Optimal body bias selection for leakage improvement and process compensation over different technology generations, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, pp.116-121, 2003. ,
DOI : 10.1145/871506.871537
Dynamic vth scaling scheme for active leakage power reduction, Design, Automation and Test in Europe Conference and Exhibition, pp.163-167, 2002. ,
Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors Solid-State Circuits, IEEE Journal, vol.38, issue.5, pp.826-829, 1924. ,
Larger-than-vdd forward body bias in sub-0.5V nanoscale CMOS, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.8-13, 2004. ,
DOI : 10.1145/1013235.1013244
A voltage regulator for subthreshold logic with low sensitivity to temperature and process variations, ISSCC, p.25, 2007. ,
Technology exploration for adaptive power and frequency scaling in 90nm CMOS, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.14-19, 2004. ,
DOI : 10.1145/1013235.1013245
Ultra-dynamic voltage scaling (udvs) using sub-threshold operation and local voltage dithering Solid-State Circuits, IEEE Journal, vol.41, issue.1, pp.238-245, 1925. ,
DOI : 10.1109/jssc.2005.859886
A 180-mv subthreshold fft processor using a minimum energy design methodology Solid- State Circuits, IEEE Journal, vol.40, issue.1, pp.310-319, 1925. ,
DOI : 10.1109/jssc.2004.837945
A 85mv 40nw process-tolerant subthreshold 8x8 fir filter in 130nm technology , " in VLSI Circuits, IEEE Symposium on, pp.154-155, 2007. ,
A 230mv-to-500mv 375khz-to-16mhz 32b risc core in 0.18 m cmos, Solid-State Circuits Conference, pp.294-604, 2007. ,
Performance and Variability Optimization Strategies in a Sub-200mV, 3.5pJ/inst, 11nW Subthreshold Processor, 2007 IEEE Symposium on VLSI Circuits, pp.152-153, 2007. ,
DOI : 10.1109/VLSIC.2007.4342694
6 bit decimation filter in subthreshold region, University/Government/Industry Microelectronics Symposium, pp.215-219, 2006. ,
An 8x8 sub-threshold digital cmos carry save array multiplier, Solid-State Circuits Conference Proceedings of the 27th European, sept, pp.377-380, 2001. ,
An Ultra-Low Voltage 200 MHz 0.6 pJ Add-Compare-Select Unit in 180 nm CMOS, 2006 49th IEEE International Midwest Symposium on Circuits and Systems, pp.32-35, 2006. ,
DOI : 10.1109/MWSCAS.2006.381987
A 65nm sub-vt microcontroller with integrated sram and switched-capacitor dc-dc converter, Solid-State Circuits Conference, pp.318-616, 2008. ,
DOI : 10.1109/isscc.2008.4523185
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.116.1004
A sub-200mv 6t sram in 0.13 m cmos, Solid-State Circuits Conference, pp.332-606, 2007. ,
Design of a Low Power Radiation Hardened 256K SRAM, 2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings, pp.1646-1648, 2006. ,
DOI : 10.1109/ICSICT.2006.306360
A Sub-600mV, Fluctuation tolerant 65nm CMOS SRAM Array with Dynamic Cell Biasing, 2007 IEEE Symposium on VLSI Circuits, pp.78-79, 2007. ,
DOI : 10.1109/VLSIC.2007.4342773
A vthvariation-tolerant sram with 0.3-v minimum operation voltage for memory-rich soc under dvs environment, VLSI Circuits Digest of Technical Papers. 2006 Symposium on, pp.0-0, 2006. ,
Operating-margin-improved sram with column-at-a-time body-bias control technique, Solid State Circuits Conference, pp.396-399, 2007. ,
An ultra-low-power memory with a subthreshold power supply voltage Solid-State Circuits, IEEE Journal, vol.41, issue.10, pp.2344-2353, 2006. ,
A 200mv to 1.2v, 4.4mhz to 6.3ghz, 48x42b 1r/1w programmable register file in 65nm cmos, Solid State Circuits Conference, pp.316-319, 2007. ,
Memory insensitive to disturbances, p.57, 1996. ,
Single phase clock and low power dynamic flip-flop, p.68, 2009. ,
Method of electronic logic synthesis, p.68, 2010. ,
Design solutions for ultra-low voltage, 7ème Journées Faible Tension Faible Consommation, 1968. ,
Ultra-low voltage from 65nm to 32nm, 8ème Journées Faible Tension Faible Consommation, p.69, 2009. ,
URL : https://hal.archives-ouvertes.fr/hal-00422276
A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications, Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, pp.225-230, 2009. ,
DOI : 10.1145/1594233.1594288
URL : https://hal.archives-ouvertes.fr/hal-00421662
Error Control Coding: Fundamentals and Applications, IEE Proceedings F Communications, Radar and Signal Processing, vol.132, issue.1, pp.68-75, 1985. ,
DOI : 10.1049/ip-f-1.1985.0011
Multivoltage design, Low Power Methodology Manual, pp.21-31, 2007. ,
High speed asynchronous structures for inter-clock domain communication, 9th International Conference on Electronics, Circuits and Systems, pp.517-520, 2002. ,
DOI : 10.1109/ICECS.2002.1046213
Asynchronous Techniques for System-on-Chip Design, Proceedings of the IEEE, vol.94, issue.6, pp.1089-1120, 2006. ,
DOI : 10.1109/JPROC.2006.875789
A 40nm CMOS, 1.27nJ, 330mV, 600kHz, Bose Chaudhuri Hocquenghem 252 bits frame decoder, 2010 IEEE International Conference on Integrated Circuit Design and Technology, pp.78-81, 2010. ,
DOI : 10.1109/ICICDT.2010.5510284
URL : https://hal.archives-ouvertes.fr/hal-00569011
Process variation tolerant SRAM array for ultra low voltage applications, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.108-113, 2008. ,
DOI : 10.1145/1391469.1391498
Current Sense Amplifiers : for Embedded SRAM in High- Performance System-on-a-Chip Designs, 2003. ,
DOI : 10.1007/978-3-662-06442-9
Sram memory device with improved write operation and method thereof, p.118, 2010. ,
Ultra-low voltage 10t sram, pp.15-128, 2010. ,
Boost circuit for memory device, 0128. ,
Design solutions for ultra-low voltage, 7ème Journées Faible Tension Faible Consommation, 2008. ,
Conception de circtuis intégrés à très faible tension, 2009. ,
Ultra-low voltage from 65nm to 32nm, 8ème Journées Faible Tension Faible Consommation, 2009. ,
URL : https://hal.archives-ouvertes.fr/hal-00422276
A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications, Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, p.225230, 2009. ,
DOI : 10.1145/1594233.1594288
URL : https://hal.archives-ouvertes.fr/hal-00421662
A 40nm cmos, 1.27nj, 330mv, 600khz, bose chaudhuri hocquenghem 252 bits frame decoder, ICICDT. IEEE, pp.78-81, 2010. ,
URL : https://hal.archives-ouvertes.fr/hal-00569011
40nm CMOS 0.35V-Optimized Standard Cell Libraries for Ultra-Low Power Applications, TODAES. ACM, pp.2010-145 ,
DOI : 10.1145/1970353.1970369
URL : https://hal.archives-ouvertes.fr/hal-00672355
Single phase clock and low power dynamic flip-flop, 2009. ,
Method of electronic logic synthesis, 2010. ,
Ultra-low voltage 10t sram ,
Boost circuit for memory device, p.146, 2010. ,