R. Rotzoll, S. Mohapatra, V. Olariu, M. Wenz, R. Grigas et al., Radio frequency rectifiers based on organic thin-film transistors, Applied Physics Letters, vol.88, issue.12, pp.88-89, 2006.
DOI : 10.1063/1.2186384

M. Lysinger, P. Roche, M. Zamanian, F. Jacquet, N. Sahoo et al., A Radiation Hardened Nano-Power 8Mb SRAM in 130nm CMOS, 9th International Symposium on Quality Electronic Design (isqed 2008), pp.23-29, 2008.
DOI : 10.1109/ISQED.2008.4479692

P. Urard, Soc power-reduction techniques, Solid-State Circuits Conference, 2008.

A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, Low-power CMOS digital design, IEEE Journal of Solid-State Circuits, vol.27, issue.4, pp.473-484, 1995.
DOI : 10.1109/4.126534

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.136.1616

P. Urard, L. Paumier, V. Heinrich, N. Raina, and N. Chawla, A 360mW 105Mb/s DVB-S2 Compliant Codec based on 64800b LDPC and BCH Codes enabling Satellite-Transmission Portable Devices, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.310-311, 2008.
DOI : 10.1109/ISSCC.2008.4523181/mm1

R. Swanson and J. Meindl, Ion-implanted complementary mos transistors in low-voltage circuits Solid-State Circuits, IEEE Journal, vol.7, issue.2, pp.146-153, 1972.

E. Vittoz and J. Fellrath, Cmos analog integrated circuits based on weak inversion operations Solid-State Circuits, IEEE Journal, vol.12, issue.3 2, pp.224-231, 1977.

K. Roy and R. Krishnammthy, Design of low voltage CMOS circuits, Tutorial Guide. ISCAS 2001. IEEE International Symposium on Circuits and Systems (Cat. No.01TH8573), pp.3-5, 2001.
DOI : 10.1109/TUTCAS.2001.946950

J. Haid, R. Weiss, W. Schogler, and M. Manninger, Design of an energy-aware system-in-package for playing MP3 in wearable computing devices, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings., pp.35-38, 2003.
DOI : 10.1109/SOC.2003.1241457

A. Lay-ekuakille, G. Vendramin, and A. Trotta, Design of an energy harvesting conditioning unit for hearing aids, 2008 30th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, pp.2310-2313, 2008.
DOI : 10.1109/IEMBS.2008.4649660

Y. Lee, M. Seok, S. Hanson, D. Blaauw, and D. Sylvester, Standby power reduction techniques for ultra-low power processors, Solid-State Circuits Conference, pp.186-189, 2008.

J. Pigott and K. Parmenter, July) Ultra-low voltage dc-dc converter capability. Freescale. Ultra-Low Voltage DC-DC Converter, 2009.

E. Yeatman, Rotating and Gyroscopic MEMS Energy Scavenging, International Workshop on Wearable and Implantable Body Sensor Networks (BSN'06), pp.4-45, 2006.
DOI : 10.1109/BSN.2006.46

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.582.7659

K. Roy, S. Mukhopadhyay, and H. Mahmoodi-meimand, Leakage current mechanisms and leakage reduction techniques in deepsubmicrometer cmos circuits, Proceedings of the IEEE, pp.305-327, 2003.
DOI : 10.1109/jproc.2002.808156

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.318.6929

Z. Xia, G. Du, Y. Song, J. Wang, X. Liu et al., Monte carlo simulation of band-to-band tunneling in silicon devices, Japanese Journal of Applied Physics, vol.4646, issue.4B 7, pp.2023-2026, 2007.

W. Yeh and J. Chou, Optimum halo structure for sub-0.1 mu ;m cmosfets, Electron Devices, pp.2357-2362, 2001.

V. Khandelwal and A. Srivastava, Active mode leakage reduction using fine-grained forward body biasing strategy, Low Power Electronics and Design Proceedings of the 2004 International Symposium on, aug, pp.150-155, 2004.
DOI : 10.1016/j.vlsi.2006.12.003

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.387.3066

K. Choi, 32nm high K metal gate (HKMG) designs for low power applications, 2008 International SoC Design Conference, pp.68-69, 2008.
DOI : 10.1109/SOCDC.2008.4815574

X. Yuan, J. Park, J. Wang, E. Zhao, D. Ahlgren et al., Gate-Induced-Drain-Leakage Current in 45-nm CMOS Technology, IEEE Transactions on Device and Materials Reliability, vol.8, issue.3, pp.501-508, 2008.
DOI : 10.1109/TDMR.2008.2002350

T. Skotnicki, G. Merckel, and T. Pedron, A new punchthrough current model based on the voltage-doping transformation, Electron Devices, pp.1076-1086, 1988.
DOI : 10.1109/16.3367

M. Seok, S. Hanson, D. Sylvester, and D. Blaauw, Analysis and optimization of sleep modes in subthreshold circuit design, Design Automation Conference DAC '07. 44th ACM, pp.694-699, 2007.

S. Hanson, M. Seok, D. Sylvester, and D. Blaauw, Nanometer device scaling in subthreshold circuits, Design Automation Conference DAC '07, pp.700-705, 2007.

S. Hanson, B. Zhai, D. Bernstein, D. Blaauw, A. Bryant et al., Ultralowvoltage , minimum-energy cmos, IBM J. RES. & DEV, vol.90, issue.12, pp.469-489, 2006.
DOI : 10.1147/rd.504.0469

D. Blaauw and B. Zhai, Energy Efficient Design for Subthreshold Supply Voltage Operation, 2006 IEEE International Symposium on Circuits and Systems, pp.4-32, 2006.
DOI : 10.1109/ISCAS.2006.1692514

D. Sylvester, D. Blaauw, M. Papaefthymiou, and M. Flynn, Low power circuits research at the university of michigan, p.36, 2006.

J. Meindl and J. Davis, The fundamental limit on binary switching energy for terascale integration (tsi), " Solid-State Circuits, IEEE Journal, vol.35, issue.10, pp.1515-1516, 2000.

S. Nassif, Process variability at the 65nm node and beyond, 2008 IEEE Custom Integrated Circuits Conference, pp.1-8, 2008.
DOI : 10.1109/CICC.2008.4672005

S. Saxena, C. Hess, H. Karbasi, A. Rossoni, S. Tonello et al., Variation in Transistor Performance and Leakage in Nanometer-Scale Technologies, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.131-144, 2008.
DOI : 10.1109/TED.2007.911351

B. Zhai, S. Hanson, D. Blaauw, and D. Sylvester, Analysis and mitigation of variability in subthreshold design, Proceedings of the 2005 international symposium on Low power electronics and design , ISLPED '05, pp.20-25, 2005.
DOI : 10.1145/1077603.1077610

N. Verma, J. Kwong, and A. Chandrakasan, Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.163-174, 2008.
DOI : 10.1109/TED.2007.911352

S. Hanson, B. Zhai, D. Blaauw, D. Sylvester, A. Bryant et al., Energy optimality and variability in subthreshold design, Proceedings of the 2006 international symposium on Low power electronics and design , ISLPED '06, pp.363-365, 2006.
DOI : 10.1145/1165573.1165660

M. Pelgrom, A. Duinmaijer, and A. Welbers, Matching properties of mos transistors, pp.1433-1439, 1989.

N. Jayakumar and S. Khatri, A variation-tolerant sub-threshold design approach, Proceedings. 42nd Design Automation Conference, 2005., pp.716-719, 2005.
DOI : 10.1109/DAC.2005.193905

K. Roy, J. Kulkarni, and M. Hwang, Process-Tolerant Ultralow Voltage Digital Subthreshold Design, 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, pp.42-45, 2008.
DOI : 10.1109/SMIC.2008.17

B. Calhoun, Y. Cao, X. Li, K. Mai, L. Pileggi et al., Digital Circuit Design Challenges and Opportunities in the Era of Nanoscale CMOS, Proceedings of the IEEE, vol.96, issue.2, pp.343-365, 2008.
DOI : 10.1109/JPROC.2007.911072

P. Ampadu, Ultra-low voltage vlsi : are we there yet ? " in Circuits and Systems, ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, pp.4-24, 2006.
DOI : 10.1109/iscas.2006.1692512

A. J. Martin, Towards an energy complexity of computation, Information Processing Letters, vol.77, issue.2-4, pp.181-187, 2001.
DOI : 10.1016/S0020-0190(00)00214-3

D. Markovic, V. Stojanovic, B. Nikolic, M. Horowitz, and R. Brodersen, Methods for true energy-performance optimization Solid- State Circuits, IEEE Journal, vol.39, issue.8, pp.1282-1293, 2004.
DOI : 10.1109/jssc.2004.831796

A. Raychowdhury, S. Mukhopadhyay, and K. Roy, A feasibility study of subthreshold SRAM across technology generations, 2005 International Conference on Computer Design, pp.417-422, 2005.
DOI : 10.1109/ICCD.2005.7

A. Raychowdhury, B. Paul, S. Bhunia, and K. Roy, Ultralow Power Computing with Sub-threshold Leakage: A Comparative Study of Bulk and SOI Technologies, Proceedings of the Design Automation & Test in Europe Conference, pp.1-6, 2006.
DOI : 10.1109/DATE.2006.243768

J. Ida, K. Tani, M. Ohono, M. Yanagihara, Y. Igarashi et al., Expanding opportunities of Ultra Low Power and harsh applications with Fully Depleted (FD) SOI (invited), 2009 IEEE International SOI Conference, pp.1-3, 2009.
DOI : 10.1109/SOI.2009.5318749

D. Bol, R. Ambroise, D. Flandre, and J. Legat, Sub-45nm fullydepleted soi cmos subthreshold logic for ultra-low-power applications, SOI Conference, pp.57-58, 2008.
DOI : 10.1109/soi.2008.4656292

J. Kim and K. Roy, Double Gate-MOSFET Subthreshold Circuit for Ultralow Power Applications, IEEE Transactions on Electron Devices, vol.51, issue.9, pp.1468-1474, 2004.
DOI : 10.1109/TED.2004.833965

X. Wu, F. Wang, and Y. Xie, Analysis of Subthreshold Finfet Circuits for Ultra-Low Power Design, 2006 IEEE International SOC Conference, pp.91-92, 2006.
DOI : 10.1109/SOCC.2006.283853

D. Kim, Y. Lee, J. Cai, I. Lauer, L. Chang et al., Low power circuit design based on heterojunction tunneling transistors (HETTs), Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, pp.219-224, 2009.
DOI : 10.1145/1594233.1594287

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.469.8357

D. Bol, D. Flandre, and J. Legat, Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits, Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, pp.21-26, 2009.
DOI : 10.1145/1594233.1594240

B. Graniello, A. Chavan, B. Rodriguez, and E. Macdonald, Optimized circuit styles for subthreshold logic, International Electro Conference, 2005.

H. Soeleman, K. Roy, and B. Paul, Sub-Domino logic: ultra-low power dynamic sub-threshold digital logic, VLSI Design 2001. Fourteenth International Conference on VLSI Design, pp.211-214, 2001.
DOI : 10.1109/ICVD.2001.902662

H. Soeleman, K. Roy, and B. Paul, Robust subthreshold logic for ultra-low power operation Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.9, issue.1, pp.90-99, 2001.

A. Tajalli, E. Vittoz, Y. Leblebici, and E. Brauer, Ultra low power subthreshold MOS current mode logic circuits using a novel load device concept, ESSCIRC 2007, 33rd European Solid-State Circuits Conference, pp.304-307, 2007.
DOI : 10.1109/ESSCIRC.2007.4430304

C. Kim, H. Soeleman, and K. Roy, Ultra-low-power dlms adaptive filter for hearing aid applications Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.6, pp.1058-1067, 2003.
DOI : 10.1109/tvlsi.2003.819573

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.109.7763

S. Mukhopadhyay, C. Neau, R. Cakici, A. Agarwal, C. Kim et al., Gate leakage reduction for scaled devices using transistor stacking Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.4, pp.716-730, 2003.

B. Calhoun, A. Wang, and A. Chandrakasan, Modeling and sizing for minimum energy operation in subthreshold circuits Solid-State Circuits, IEEE Journal, vol.40, issue.9, pp.1778-1786, 1920.

D. Bol, R. Ambroise, D. Flandre, and J. Legat, Analysis and minimization of practical energy in 45nm subthreshold logic circuits, 2008 IEEE International Conference on Computer Design, pp.294-300, 2008.
DOI : 10.1109/ICCD.2008.4751876

I. Sutherland, B. Sproull, and D. Harris, Logical effort : designing fast CMOS circuits, p.36, 1999.

J. Keane, H. Eom, T. Kim, S. Sapatnekar, and C. Kim, Subthreshold logical effort, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.425-428, 2006.
DOI : 10.1145/1146909.1147022

B. Calhoun and A. Chandrakasan, Characterizing and modeling minimum energy operation for subthreshold circuits, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.90-95, 2004.
DOI : 10.1145/1013235.1013265

S. Narendra, D. Blaauw, A. Devgan, and F. Najm, Leakage issues in ic design :trends, estimation and avoidance, Proceedings of 138 BIBLIOGRAPHIE BIBLIOGRAPHIE the International Conference on Computer Aided Design, p.11, 2003.

A. Chavan, G. Dukle, B. Graniello, and E. Macdonald, Robust Ultra-Low Power Subthreshold Logic Flip-Flop Design for Reconfigurable Architectures, 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006), pp.1-7, 2006.
DOI : 10.1109/RECONF.2006.307764

J. Seomun, J. Kim, and Y. Shin, Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits, 2007 44th ACM/IEEE Design Automation Conference, pp.103-106, 2007.
DOI : 10.1109/DAC.2007.375133

T. Jau, W. Yang, and Y. Lo, A New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler, 2006 13th IEEE International Conference on Electronics, Circuits and Systems, pp.902-905, 2006.
DOI : 10.1109/ICECS.2006.379935

B. Fu and P. Ampadu, Comparative Analysis of Ultra-Low Voltage Flip-Flops for Energy Efficiency, 2007 IEEE International Symposium on Circuits and Systems, pp.1173-1176, 2007.
DOI : 10.1109/ISCAS.2007.378259

H. Alstad and S. Aunet, Three Subthreshold Flip-Flop Cells Characterized in 90 nm and 65 nm CMOS Technology, 2008 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, pp.1-4, 2008.
DOI : 10.1109/DDECS.2008.4538745

T. Kim, J. Keane, H. Eom, and C. Kim, Utilizing reverse shortchannel effect for optimal subthreshold circuit design Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.15, issue.7, pp.821-829, 1922.

Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii et al., An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment, 2007 IEEE Symposium on VLSI Circuits, pp.256-257, 2007.
DOI : 10.1109/VLSIC.2007.4342741

N. Verma and A. Chandrakasan, A 256 kb 65 nm 8t subthreshold sram employing sense-amplifier redundancy Solid-State Circuits, IEEE Journal, vol.43, issue.1, pp.141-149, 1922.
DOI : 10.1109/jssc.2007.908005

F. Moradi, D. Wisland, S. Aunet, H. Mahmoodi, and T. V. Cao, 65NM sub-threshold 11T-SRAM for ultra low voltage applications, 2008 IEEE International SOC Conference, pp.113-118, 2008.
DOI : 10.1109/SOCC.2008.4641491

B. Calhoun and A. Chandrakasan, A 256-kb 65-nm sub-threshold sram design for ultra-low-voltage operation Solid-State Circuits, IEEE Journal, vol.42, issue.22, pp.680-688, 2007.

J. Kulkarni, K. Kim, and K. Roy, A 160 mv robust schmitt trigger based subthreshold sram Solid-State Circuits, IEEE Journal, vol.42, issue.22, pp.2303-2313, 2007.
DOI : 10.1109/jssc.2007.897148

I. J. Chang, J. Kim, S. Park, and K. Roy, A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.388-622, 2008.
DOI : 10.1109/ISSCC.2008.4523220/mm1

K. Itoh, M. Horiguchi, and T. Kawahara, Ultra-low voltage nanoscale embedded rams, Circuits and Systems ISCAS 2006. Proceedings. 2006 IEEE International Symposium on, pp.4-28, 2006.
DOI : 10.1109/newcas.2006.250895

J. Lee, Y. J. Lee, and Y. B. Kim, Sram word-oriented redundancy methodology using built in self-repair, SOC Conference Proceedings. IEEE International, pp.219-222, 2004.

H. Shao and C. Tsui, A robust, input voltage adaptive and low energy consumption level converter for sub-threshold logic, Solid State Circuits Conference, pp.312-315, 2007.

R. K. Ik-joon-chang and J. Kim, Robust level converter design for sub-threshold logic Low Power Electronics and Design, Proceedings of the 2006 International Symposium on, pp.14-19, 1923.

K. Von-arnim, E. Borinski, P. Seegebrecht, H. Fiedler, R. Brederlow et al., Efficiency of body biasing in 90-nm cmos for low-power digital circuits Solid-State Circuits, IEEE Journal, vol.40, issue.7, pp.1549-1556, 1924.

C. Neau and K. Roy, Optimal body bias selection for leakage improvement and process compensation over different technology generations, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, pp.116-121, 2003.
DOI : 10.1145/871506.871537

C. Kim and K. Roy, Dynamic vth scaling scheme for active leakage power reduction, Design, Automation and Test in Europe Conference and Exhibition, pp.163-167, 2002.

J. Tschanz, S. Narendra, R. Nair, and V. De, Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors Solid-State Circuits, IEEE Journal, vol.38, issue.5, pp.826-829, 1924.

H. Ananthan, C. Kim, and K. Roy, Larger-than-vdd forward body bias in sub-0.5V nanoscale CMOS, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.8-13, 2004.
DOI : 10.1145/1013235.1013244

G. Giuseppe-de and . Vita, A voltage regulator for subthreshold logic with low sensitivity to temperature and process variations, ISSCC, p.25, 2007.

M. Meijer, F. Pessolano, and J. Pineda-de-gyvez, Technology exploration for adaptive power and frequency scaling in 90nm CMOS, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.14-19, 2004.
DOI : 10.1145/1013235.1013245

B. Calhoun and A. Chandrakasan, Ultra-dynamic voltage scaling (udvs) using sub-threshold operation and local voltage dithering Solid-State Circuits, IEEE Journal, vol.41, issue.1, pp.238-245, 1925.
DOI : 10.1109/jssc.2005.859886

A. Wang and A. Chandrakasan, A 180-mv subthreshold fft processor using a minimum energy design methodology Solid- State Circuits, IEEE Journal, vol.40, issue.1, pp.310-319, 1925.
DOI : 10.1109/jssc.2004.837945

M. Hwang, A. Raychowdhury, K. Kim, and K. Roy, A 85mv 40nw process-tolerant subthreshold 8x8 fir filter in 130nm technology , " in VLSI Circuits, IEEE Symposium on, pp.154-155, 2007.

J. Wang, J. Chen, Y. Wang, and C. Yeh, A 230mv-to-500mv 375khz-to-16mhz 32b risc core in 0.18 m cmos, Solid-State Circuits Conference, pp.294-604, 2007.

S. Hanson, B. Zhai, M. Seok, B. Cline, K. Zhou et al., Performance and Variability Optimization Strategies in a Sub-200mV, 3.5pJ/inst, 11nW Subthreshold Processor, 2007 IEEE Symposium on VLSI Circuits, pp.152-153, 2007.
DOI : 10.1109/VLSIC.2007.4342694

R. Jain, P. Guttal, and D. Parent, 6 bit decimation filter in subthreshold region, University/Government/Industry Microelectronics Symposium, pp.215-219, 2006.

B. Paul, H. Soeleman, and K. Roy, An 8x8 sub-threshold digital cmos carry save array multiplier, Solid-State Circuits Conference Proceedings of the 27th European, sept, pp.377-380, 2001.

D. Wolpert and P. Ampadu, An Ultra-Low Voltage 200 MHz 0.6 pJ Add-Compare-Select Unit in 180 nm CMOS, 2006 49th IEEE International Midwest Symposium on Circuits and Systems, pp.32-35, 2006.
DOI : 10.1109/MWSCAS.2006.381987

J. Kwong, Y. Ramadass, N. Verma, M. Koesler, K. Huber et al., A 65nm sub-vt microcontroller with integrated sram and switched-capacitor dc-dc converter, Solid-State Circuits Conference, pp.318-616, 2008.
DOI : 10.1109/isscc.2008.4523185

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.116.1004

B. Zhai, D. Blaauw, D. Sylvester, and S. Hanson, A sub-200mv 6t sram in 0.13 m cmos, Solid-State Circuits Conference, pp.332-606, 2007.

L. Haixia, L. Weimin, T. Jianping, L. Shijin, and C. Lei, Design of a Low Power Radiation Hardened 256K SRAM, 2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings, pp.1646-1648, 2006.
DOI : 10.1109/ICSICT.2006.306360

A. Bhavnagarwala, S. Kosonocky, Y. Chan, K. Stawiasz, U. Srinivasan et al., A Sub-600mV, Fluctuation tolerant 65nm CMOS SRAM Array with Dynamic Cell Biasing, 2007 IEEE Symposium on VLSI Circuits, pp.78-79, 2007.
DOI : 10.1109/VLSIC.2007.4342773

Y. Morita, H. Fujiwara, H. Noguchi, K. Kawakami, J. Miyakoshi et al., A vthvariation-tolerant sram with 0.3-v minimum operation voltage for memory-rich soc under dvs environment, VLSI Circuits Digest of Technical Papers. 2006 Symposium on, pp.0-0, 2006.

M. Yamaoka and T. Kawahara, Operating-margin-improved sram with column-at-a-time body-bias control technique, Solid State Circuits Conference, pp.396-399, 2007.

J. Chen, L. Clark, and T. Chen, An ultra-low-power memory with a subthreshold power supply voltage Solid-State Circuits, IEEE Journal, vol.41, issue.10, pp.2344-2353, 2006.

A. Agarwal, N. Banerjee, S. Hsu, R. Krishnamurthy, and K. Roy, A 200mv to 1.2v, 4.4mhz to 6.3ghz, 48x42b 1r/1w programmable register file in 65nm cmos, Solid State Circuits Conference, pp.316-319, 2007.

T. Masson and R. Ferrant, Memory insensitive to disturbances, p.57, 1996.

S. Clerc, J. Schoelkopff, F. Firmin, and F. Abouzeid, Single phase clock and low power dynamic flip-flop, p.68, 2009.

F. Abouzeid, F. Firmin, and S. Clerc, Method of electronic logic synthesis, p.68, 2010.

F. Abouzeid, S. Clerc, M. Renaudin, and G. Sicard, Design solutions for ultra-low voltage, 7ème Journées Faible Tension Faible Consommation, 1968.

F. Abouzeid, S. Clerc, M. Renaudin, and G. Sicard, Ultra-low voltage from 65nm to 32nm, 8ème Journées Faible Tension Faible Consommation, p.69, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00422276

F. Abouzeid, S. Clerc, F. Firmin, M. Renaudin, and G. Sicard, A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications, Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, pp.225-230, 2009.
DOI : 10.1145/1594233.1594288

URL : https://hal.archives-ouvertes.fr/hal-00421662

M. Darnell, Error Control Coding: Fundamentals and Applications, IEE Proceedings F Communications, Radar and Signal Processing, vol.132, issue.1, pp.68-75, 1985.
DOI : 10.1049/ip-f-1.1985.0011

M. Keating, D. Flynn, R. Aitken, A. Gibbons, and K. Shi, Multivoltage design, Low Power Methodology Manual, pp.21-31, 2007.

A. Chattopadhyay and Z. Zilic, High speed asynchronous structures for inter-clock domain communication, 9th International Conference on Electronics, Circuits and Systems, pp.517-520, 2002.
DOI : 10.1109/ICECS.2002.1046213

A. Martin and M. Nystrom, Asynchronous Techniques for System-on-Chip Design, Proceedings of the IEEE, vol.94, issue.6, pp.1089-1120, 2006.
DOI : 10.1109/JPROC.2006.875789

S. Clerc, F. Abouzeid, V. Heinrich, A. Jain, A. Veggetti et al., A 40nm CMOS, 1.27nJ, 330mV, 600kHz, Bose Chaudhuri Hocquenghem 252 bits frame decoder, 2010 IEEE International Conference on Integrated Circuit Design and Technology, pp.78-81, 2010.
DOI : 10.1109/ICICDT.2010.5510284

URL : https://hal.archives-ouvertes.fr/hal-00569011

J. Kulkarni, K. Kim, S. Park, and K. Roy, Process variation tolerant SRAM array for ultra low voltage applications, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.108-113, 2008.
DOI : 10.1145/1391469.1391498

B. Wicht, Current Sense Amplifiers : for Embedded SRAM in High- Performance System-on-a-Chip Designs, 2003.
DOI : 10.1007/978-3-662-06442-9

C. Dray, F. Jacquet, and S. Barasinski, Sram memory device with improved write operation and method thereof, p.118, 2010.

F. Abouzeid and S. Clerc, Ultra-low voltage 10t sram, pp.15-128, 2010.

F. Abouzeid, S. Clerc, and P. Roche, Boost circuit for memory device, 0128.

F. Bibliographie-de-l-'auteur-publications, S. Abouzeid, M. Clerc, G. Renaudin, and . Sicard, Design solutions for ultra-low voltage, 7ème Journées Faible Tension Faible Consommation, 2008.

F. Abouzeid, S. Clerc, M. Renaudin, and G. Sicard, Conception de circtuis intégrés à très faible tension, 2009.

F. Abouzeid, S. Clerc, M. Renaudin, and G. Sicard, Ultra-low voltage from 65nm to 32nm, 8ème Journées Faible Tension Faible Consommation, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00422276

F. Abouzeid, S. Clerc, F. Firmin, M. Renaudin, and G. Sicard, A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications, Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, ISLPED '09, p.225230, 2009.
DOI : 10.1145/1594233.1594288

URL : https://hal.archives-ouvertes.fr/hal-00421662

G. Roche and . Sicard, A 40nm cmos, 1.27nj, 330mv, 600khz, bose chaudhuri hocquenghem 252 bits frame decoder, ICICDT. IEEE, pp.78-81, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00569011

F. Abouzeid, S. Clerc, F. Firmin, M. Renaudin, and G. Sicard, 40nm CMOS 0.35V-Optimized Standard Cell Libraries for Ultra-Low Power Applications, TODAES. ACM, pp.2010-145
DOI : 10.1145/1970353.1970369

URL : https://hal.archives-ouvertes.fr/hal-00672355

B. De-l-'auteur-brevets, S. Clerc, J. Schoelkopff, F. Firmin, and F. Abouzeid, Single phase clock and low power dynamic flip-flop, 2009.

F. Abouzeid, F. Firmin, and S. Clerc, Method of electronic logic synthesis, 2010.

F. Abouzeid and S. Clerc, Ultra-low voltage 10t sram

F. Abouzeid, S. Clerc, P. Roche, and D. Auteur, Boost circuit for memory device, p.146, 2010.