S. [. Devaux, S. Sassi, D. Pillement, D. Chillet, and . Demigny, « Flexible interconnection network for dynamically and partially reconfigurable architectures, International Journal on Reconfigurable Computing, p.15, 2010.

]. S. Pps10a, S. Piestrak, O. Pillement, . Sentieys, and . Comments-on, A Low-Power Dependable Berger Code for Fully Asymmetric Communication, IEEE Communications Letters, vol.14, issue.8, pp.1-3, 2010.

]. S. Pps10b, S. Piestrak, and O. Pillement, Sentieys, « On designing Efficient Codecs for Bus- Invert Berger Code for Fully Asymmetric Communication, IEEE Transactions on Circuits and Systems, 2010.

]. J. Lps09a, S. Lallet, and O. Pillement, Sentieys, « Efficient and Flexible Dynamic Reconfiguration for Multi-Context Architectures », Journal of Integrated Circuits and Systems, vol.4, issue.1, pp.36-44, 2009.

J. [. Pillement and O. Philippe, Spatio-temporal coding to improve speed and noise tolerance of on-chip interconnect, Microelectronics Journal, vol.41, issue.8, pp.480-486, 2009.
DOI : 10.1016/j.mejo.2009.11.001

URL : https://hal.archives-ouvertes.fr/inria-00438322

O. [. Pillement, R. Sentieys, «. David, and . Dart, DART: A Functional-Level Reconfigurable Architecture for High Energy Efficiency, EURASIP Journal on Embedded Systems, vol.2008, pp.1-13, 2008.
DOI : 10.1109/35.714616

URL : https://hal.archives-ouvertes.fr/inria-00446682

R. [. Pillement and . David, Architectures reconfigurable et faible consommation. R??alit?? ou prospective ?, Technique et Science Informatiques, pp.595-622, 2007.
DOI : 10.3166/tsi.26.595-621

D. [. David, S. Lavenier, . Pillement, and F. Du-microprocesseur-au-circuit, une analyse sous l'angle de la reconfiguration, Technique et Science Informatiques, pp.395-422, 2005.

D. Chillet, S. Pillement, and O. Sentieys, Algorithm-Architecture Matching for Signal and Image Processing, ch. RANN : A Reconfigurable Artificial Neural Network Model for Task Scheduling on Reconfigurable Systemon-Chip, 2010.

S. [. David, O. Pillement, and . Sentieys, Low Power Electronics Design, ch. Energy-Efficient Reconfigurable Processors, pp.20-21, 2004.

]. R. Dcps02a, D. David, S. Chillet, O. Pillement, and . Sentieys, SOC Design Methodologies, International Federation for Information Processing, ch. A Dynamically Reconfigurable Architecture for Low-Power Multimedia terminals, pp.51-62, 2002.

S. Pillement, L. Torres, M. Robert, and G. Cambon, CODESIGN conception conjointe logiciel-matériel, Collection Technique et Scientifique des télécommunications, Eyrolles, 1998, ch. Aide à la validation de systèmes logiciels/matériels, pp.189-200

]. S. Ptrc97a, L. Pillement, M. Torres, G. Robert, and . Cambon, Models in System Design, ch. LIRMM : prototyping platform for hardware / software codesign, pp.103-113, 1997.

C. S. Jafri, S. Piestrak, O. Sentieys, and S. Pillement, Design of a Fault-Tolerant Coarse- Grained Reconfigurable Architecture : A Case Study, IEEE International Symposium on Quality Electronic Design (ISQED), 2010.
URL : https://hal.archives-ouvertes.fr/inria-00480553

L. [. Pham, S. Devaux, and . Pillement, « Dynamic NOC-based MPSoC with Fault- Tolerance Support, Diagnostic Services in Networkon-Chips (DSNoC), 2010.

]. M. Ppd10a, S. Pham, D. Pillement, and . Demigny, « Evaluation of Fault-Mitigation Schemes for Fault-Tolerant Dynamic MPSoC, International Conference on Field Programmable Logic and Applications (FPL), 2010.

]. M. Ppd10b, S. Pham, D. Pillement, «. Demigny, and . Ft-dympsoc, Analytical Model for Fault-Tolerant Dynamic MPSoC, IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 2010.

]. L. Dpcd10b, S. Devaux, D. Pillement, and D. Chillet, Demigny, « Mesh and Fat-Tree comparison for dynamically reconfigurable applications, Workshop on Reconfigurable Communication-Centric SoCs (ReCoSoC), 2010.

D. [. Eiche, S. Chillet, and O. Pillement, Sentieys, « Task placement for dynamic and partial reconfigurable architecture, Conference on Design and Architectures for Signal and Image Processing (DASIP), 2010.

D. [. Devaux, S. Chillet, and D. Pillement, Demigny, « Flexible communication support for dynamically reconfigurable FPGAs, Southern Programmable Logic Conference (SPL), pp.65-70, 2009.

]. L. Dsp+09a, S. B. Devaux, S. Sassi, D. Pillement, D. Chillet et al., Flexible Interconnection Network for Dynamically Reconfigurable Architectures, IEEE International Conference on Field-Programmable Technology (FPT), 2009.

]. J. Lps09b, S. Lallet, O. Pillement, and . Sentieys, « xMAML : a Modeling Language for Dynamically Reconfigurable Architectures, Euromicro Conference on Digital System Design : Architectures, Methods and Tools (DSD), pp.680-687, 2009.

]. M. Ppd09a, S. Pham, D. Pillement, and . Demigny, « A Fault-Tolerant Layer For Dynamically Reconfigurable Multi-Processor System-On-Chip, International Conference on ReConFigurable Computing and FPGAs, pp.284-289, 2009.

S. Pillement, D. Chillet, Y. Oliva, and J. C. Prevotet, « High-Level Exploration for Dynamic Reconfiguration Management, Engineering of Reconfigurable Systems & Algorithms (ERSA), pp.301-302, 2009.

S. [. Lallet, O. Pillement, and . Sentieys, « Efficient Dynamic Reconfiguration for Multicontext Embedded FPGA, Symposium on Integrated circuits and system design (SBCCI), ACM, pp.210-215, 2008.

J. [. Pillement, O. Philippe, and . Sentieys, A new approach of coding to improve speed and noise tolerance of on-chip busses, 2008 3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, pp.1-6, 2008.
DOI : 10.1109/DTIS.2008.4540226

URL : https://hal.archives-ouvertes.fr/inria-00446626

J. Prevotet, A. Benkhelifa, B. Granado, E. Huck, B. Miramond et al., A Framework for the Exploration of RTOS Dedicated to the Management of Hardware Reconfigurable Resources, 2008 International Conference on Reconfigurable Computing and FPGAs, 2008.
DOI : 10.1109/ReConFig.2008.40

URL : https://hal.archives-ouvertes.fr/hal-00404919

D. [. Benkermi, S. Chillet, and O. Pillement, Sentieys, « Hardware Task Scheduling for Heterogeneous SoC Architectures, European Signal Processing Conference (EUSIPCO), pp.1653-1657, 2007.

A. Kupriyanov, F. Hannig, D. Kissler, J. Teich, J. Lallet et al., Modeling of Interconnection Networks in Massively Parallel Processor Architectures, Modeling of Interconnection Networks in Massively Parallel Processor Architectures International Conference on Architecture of Computing Systems (ARCS), pp.268-282, 2007.
DOI : 10.1007/978-3-540-71270-1_20

URL : https://hal.archives-ouvertes.fr/inria-00536724

]. D. Cps07a, S. Chillet, O. Pillement, and «. A. Sentieys, Neural Network Model for Real- Time Scheduling on Heteregeneous SoC Architectures, International Joint Conference on Neural Networks (IJCNN), pp.102-107, 2007.

N. Abel, L. Kessal, S. Pillement, and D. Demigny, « Clear stream towards dynamically reconfigurable systems on chip, Workshop on Reconfigurable Communication-Centric SoCs (ReCoSoC), pp.98-104, 2006.

S. [. Philippe and O. Pillement, Sentieys, « Area Efficient Temporal Coding Schemes for Reducing Crosstalk Effects, IEEE International Symposium on Quality Electronic Design (ISQED), pp.334-339, 2006.

E. [. Philippe, S. Kinvi-boh, and O. Pillement, Sentieys, « An Energy- Efficient Ternary Interconnection Link for Asynchronous Systems, IEEE International Symposium on Circuits and Systems (ISCAS), pp.1014-1018, 2006.

S. [. Philippe, O. Pillement, and . Sentieys, A Low-Power and High-Speed Quaternary Interconnection Link using Efficient Converters, 2005 IEEE International Symposium on Circuits and Systems, pp.4689-4692, 2005.
DOI : 10.1109/ISCAS.2005.1465679

J. [. Verdier, A. Prévotet, D. Benkhelifa, S. Chillet, and . Pillement, « Exploring RTOS issues with a high-level model of a reconfigurable SoC platform, Workshop on Reconfigurable Communication-Centric SoCs (ReCoSoC), pp.71-78, 2005.

S. [. Abdallah, O. Pillement, A. Sentieys, and . Bouallegue, Acceleration of a VLIW Processor With Dynamic Reconfiguration, IEEE International Conference on Microelectronics (ICM), pp.633-636, 2004.

M. [. Menard, S. Guitton, and O. Pillement, Sentieys, « Design and Implementation of WCDMA Platforms : Challenges and Trade-offs, International Signal Processing Conference (ISPC), 2003.

S. [. Chillet and O. Pillement, Sentieys, « A Virtual Component for Motion Estimation Algorithm, Engineering of Reconfigurable Systems & Algorithms (ERSA), 2002.

]. R. Dcps02b, D. David, S. Chillet, and O. Pillement, Sentieys, « A Compilation Framework for a Dynamically Reconfigurable Architecture, International Conference on Field Programmable Logic and Applications (FPL), pp.1058-1067, 2002.

R. David, D. Chillet, S. Pillement, and O. Sentieys, DART: a dynamically reconfigurable architecture dealing with future mobile telecommunications constr, Proceedings 16th International Parallel and Distributed Processing Symposium, pp.156-0164, 2002.
DOI : 10.1109/IPDPS.2002.1016554

]. R. Dcps02d, D. David, S. Chillet, and O. Pillement, Sentieys, « A High-Performance dynamically reconfigurable embedded architecture, Sophia Antipolis Forum on Microelectronics (SAME), 2002.

]. R. Dcps02e, D. David, S. Chillet, and O. Pillement, Sentieys, « Mapping Future Generation Mobile Telecommunication Applications on a Dynamically Reconfigurable Architecture, 27th IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), 2002.

S. [. Sentieys, D. Pillement, and . Chillet, « Behavioral IP Specification and Integration Framework for High-Level Design Reuse, IEEE International Symposium on Quality Electronic Design (ISQED), pp.388-393, 2002.

D. [. David, S. Chillet, and O. Pillement, Sentieys, « A Dynamically Reconfigurable Architecture for Low-Power Multimedia terminals, International Conference on Very Large Scale Integration (VLSI-SOC), pp.51-62, 2001.

S. Pillement, L. Torres, M. Robert, and G. Cambon, A Case Study -The JPEG Compression Algorithm, IEEE International Workshop on Rapid System Prototyping (RSP), pp.87-92, 1999.

]. S. Rsc+99b, G. Raimbault, G. Sassatelli, M. Cambon, S. Robert et al., « Embedded systems design and verification : Reuse oriented prototyping methodologies, International Conference on Very Large Scale Integration (VLSI, pp.407-414, 1999.

]. S. Ptrc96a, L. Pillement, M. Torres, G. Robert, and . Cambon, « Concurrent design of hardware / software dedicated systems, International Workshop on Field Programmable Logic and Applications (FPL), pp.410-415, 1996.

S. [. Maillet-contoz, J. Pillement, and «. A. Sallantin, unified workbench for designing hardware / software systems, IFIP Working Conference on Logic and Architecture Synthesis (IWLAS Conférences nationales avec actes Réseau d'interconnexion flexible pour architecture reconfigurable dynamiquement et partiellement », in : Symposium en Architecture de machines (SympA), pp.363-370, 1996.

]. A. Ecps09a, D. Eiche, S. Chillet, and O. Pillement, Sentieys, « Flot d'ordonnancement pour architecture reconfigurable, Symposium en Architecture de machines (SympA), 2009.

]. D. Cps07b, S. Chillet, O. Pillement, and . Sentieys, « Vers une implémentation matérielle d'un réseau de neurones pour le service d'ordonnancement des tâches au sein d'un SoC, Colloque sur le Traitement du Signal et des Images (GRETSI), pp.353-356, 2007.

S. [. Benkermi, O. Pillement, and . Sentieys, « Application des réseaux de neurones à l'ordonnancement de tâches temps réel sur une architecture multiprocesseurs hétérogènes, Symposium en Architecture de machines (SympA), pp.372-379, 2003.

R. [. Pillement, O. David, . Sentieys, and . Papier-invité, Architectures reconfigurables : opportunités pour la faible consommation, pp.31-39, 2003.

D. Menard, M. Guitton, R. David, S. Pillement, and O. , Sentieys, « Évaluation comparative de plates-formes reconfigurables et programmables pour les télécommunications de 3ème génération, Colloque sur le Traitement du Signal et des Images (GRETSI), 2003.

]. D. Cpsa02, S. Chillet, O. Pillement, and . Sentieys, « Vers une approche unifiée pour la conception globale des terminaux de télécommunications », in : Journées Francophones sur l'Adéquation Algorithme Architecture, 2002.

J. [. Pillement and O. Lallet, Sentieys, « Vers un language de description d'architectures reconfigurables, 2006.

]. S. Pil97b, L. Pillement, M. Torres, and G. Robert, Cambon, « Expérimentation d'un environnement de prototypage de systèmes mixtes, Acte du colloque de CAO de circuits intégrés et systèmes, 1997.

]. S. Ptrc96b, L. Pillement, M. Torres, G. Robert, and . Cambon, Aide à la validation de systèmes logiciels/ matériels : système de prototypage LIRMM », Actes des séminaires action scientifique, 1996.

B. Ahmad, A. Erdogan, and S. Khawam, Architecture of a Dynamically Reconfigurable NoC for Adaptive Reconfigurable MPSoC, First NASA/ESA Conference on Adaptive Hardware and Systems (AHS'06), 2006.
DOI : 10.1109/AHS.2006.25

H. Amano, A Survey on Dynamically Reconfigurable Processors, IEICE Transactions on Communications, vol.89, issue.12, pp.3179-3187, 2006.
DOI : 10.1093/ietcom/e89-b.12.3179

D. Andrews, R. Sass, E. Anderson, J. Agron, W. Peck et al., Achieving Programming Model Abstractions for Reconfigurable Computing, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.34-44, 2008.
DOI : 10.1109/TVLSI.2007.912106

M. Bashiri, S. G. Miremadi, and M. Fazeli, A Checkpointing Technique for Rollback Error Recovery in Embedded Systems, 2006 International Conference on Microelectronics, pp.174-177, 2006.
DOI : 10.1109/ICM.2006.373295

J. Becker, M. Hubner, G. Hettich, R. Constapel, J. Eisenmann et al., Dynamic and Partial FPGA Exploitation, Proceedings of the IEEE, pp.438-452, 2007.
DOI : 10.1109/JPROC.2006.888404

M. Bellato, P. Bernardi, D. Bortolato, A. Candelori, M. Ceschia et al., Evaluating the effects of SEUs affecting the configuration memory of an SRAM-based FPGA, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1268908

L. Benini and G. Michelli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

I. Benkermi, Système d'exploitation temps réel pour architectures reconfigurables dynamiquement á faible consommation, 2007.

M. Berg, C. Poivey, D. Petrick, D. Espinosa, A. Lesea et al., Effectiveness of Internal Versus External SEU Scrubbing Mitigation Strategies in a Xilinx FPGA: Design, Test, and Analysis, IEEE Transactions on Nuclear Science, vol.55, issue.4, pp.2259-2266, 2008.
DOI : 10.1109/TNS.2008.2001422

J. M. Berger, A note on error detection codes for asymmetric binary channels, Inform. Contr, pp.68-73, 1961.

P. Bernardi, M. S. Reorda, L. Sterpone, M. Violante, and I. Torino, On the evaluation of SEU sensitiveness in SRAM-based FPGAs, Proceedings. 10th IEEE International On-Line Testing Symposium, pp.115-120, 2004.
DOI : 10.1109/OLT.2004.1319668

G. Brebner, An interactive datasheet for the xilinx XC6200, International Conference on Field Programmable Logic and Applications, pp.401-405, 1998.
DOI : 10.1007/BFb0055269

I. Brynjolfson and Z. Zilic, FPGA clock management for low power applications, International Symposium on Field programmable gate arrays, p.219, 2000.

H. Castro, A. A. Coelho, and R. J. Silveira, Fault-tolerance in FPGA's through CRC voting, Proceedings of the twenty-first annual symposium on Integrated circuits and system design, SBCCI '08, pp.188-192, 2008.
DOI : 10.1145/1404371.1404424

F. Charot and V. Messe, A flexible code generation framework for the design of application specific programmable processors, Proceedings of the seventh international workshop on Hardware/software codesign , CODES '99, pp.27-32, 1999.
DOI : 10.1145/301177.301194

K. Compton and S. Hauck, Reconfigurable computing: a survey of systems and software, ACM Computing Surveys, vol.34, issue.2, pp.171-210, 2002.
DOI : 10.1145/508352.508353

S. Corbetta, V. Rana, M. D. Santambrogio, and D. Sciuto, A light-weight Network-on-Chip architecture for dynamically reconfigurable systems, 2008 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, pp.49-56, 2008.
DOI : 10.1109/ICSAMOS.2008.4664846

A. Courtay, O. Sentieys, J. Laurent, and N. Julien, High-Level Interconnect Delay and Power Estimation, Journal of Low Power Electronics, vol.4, issue.1, pp.21-33, 2008.
DOI : 10.1166/jolpe.2008.152

URL : https://hal.archives-ouvertes.fr/hal-00267248

D. Cozzi, C. Farè, A. Meroni, V. Rana, M. D. Santambrogio et al., Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices, Proceedings of the 19th ACM Great Lakes symposium on VLSI, GLSVLSI '09, pp.421-424, 2009.
DOI : 10.1145/1531542.1531638

J. Cui, Q. Deng, X. He, and Z. Gu, An Efficient Algorithm for Online Management of 2D Area of Partially Reconfigurable FPGAs, 2007 Design, Automation & Test in Europe Conference & Exhibition, 2007.
DOI : 10.1109/DATE.2007.364579

W. Dally and J. Poulton, Digital Systems Engineering, 1998.
DOI : 10.1017/CBO9781139166980

R. David, Architecture reconfigurable dynamiquement pour applications mobiles, 2003.

A. Devgan, Efficient coupled noise estimation for on-chip interconnects, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD) ICCAD-97, pp.147-153, 1997.
DOI : 10.1109/ICCAD.1997.643399

J. P. Diguet, Y. Eustache, and M. Khodary, Feedback Control Learning Model for QoS, Power & Performance Management of Reconfigurable Embedded Systems, International Symposium on DSP and Communication Systems, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00089612

N. Dutt and P. Mishra, Architecture Description Languages for Programmable Embedded Systems, IEE Proc. : Computers and Digital Techniques, pp.285-297, 2005.

M. Ercegovac and T. Lang, Digital Arithmetic, 2004.
URL : https://hal.archives-ouvertes.fr/ensl-00542215

D. Flandre, S. Adriaensen, A. Afzalian, J. Laconte, D. Levacq et al., Intelligent SOI CMOS integrated circuits and sensors for heterogeneous environments and applications, Proceedings of IEEE Sensors, pp.1407-1412, 2002.
DOI : 10.1109/ICSENS.2002.1037327

A. Fraboulet, Optimisation de la mémoire et de la consommation des systèmes multimédia embarqués, 2001.

H. Freitas and P. Navaux, Evaluating On-Chip Interconnection Architectures for Parallel Processing, 2008 11th IEEE International Conference on Computational Science and Engineering, Workshops, 2008.
DOI : 10.1109/CSEW.2008.60

J. Fridman, Sub-word parallelism in digital signal processing, IEEE Signal Processing Magazine, vol.17, issue.2, pp.27-35, 2000.
DOI : 10.1109/79.826409

A. K. Goel, High-speed VLSI interconnections, 2007.
DOI : 10.1002/9780470165973

A. Greenfield, Everyware : The dawning age of ubiquitous computing, 2006.

Y. Guillemenet, S. Ahmed, L. Torres, A. Martheley, J. Eydoux et al., MRAM Based eFPGAs: Programming and Silicon Flows, Exploration Environments, MRAM Current State in Industry and Its Unique Potentials for FPGAs, 2009 International Conference on Reconfigurable Computing and FPGAs, 2009.
DOI : 10.1109/ReConFig.2009.25

URL : https://hal.archives-ouvertes.fr/lirmm-00433333

J. L. Hennessy and D. A. Patterson, Computer Architecture : A Quantitative Approach, chapter Appendix E : Interconnection Networks, 2006.

T. Huang, A Low-Power Dependable Berger Code for Fully Asymmetric Communication, IEEE Communications Letters, vol.12, issue.10, pp.773-775, 2008.
DOI : 10.1109/LCOMM.2008.080904

Y. Ichinomiya, S. Tanoue, M. Amagasaki, M. Iida, M. Kuga et al., Improving the Robustness of a Softcore Processor against SEUs by Using TMR and Partial Reconfiguration, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, pp.47-54, 2010.
DOI : 10.1109/FCCM.2010.16

S. Jovanovic, C. Tanougast, C. Bobda, and S. Weber, CuNoC: A dynamic scalable communication structure for dynamically reconfigurable FPGAs, Microprocessors and Microsystems, vol.33, issue.1, pp.24-36, 2009.
DOI : 10.1016/j.micpro.2008.08.004

A. Kanamaru, H. Kawai, Y. Yamaguchi, and M. Yasunaga, Tile-Based Fault Tolerant Approach Using Partial Reconfiguration, Proc. Int. Workshop on Reconfigurable Computing : Architectures, Tools and Applications, pp.293-299, 2009.
DOI : 10.1145/1142155.1142167

H. Kariniemi, On-Line Reconfigurable Extended Generalized Fat-Tree Network-on- Chip for MultiProcessor System-on-Chip Circuits, 2006.

E. Kinvih-boh, M. Aline, O. Sentieys, and E. D. Olson, MVL circuit design and characterization at the transistor level using SUS-LOC, 33rd International Symposium on Multiple-Valued Logic, 2003. Proceedings., pp.105-110, 2003.
DOI : 10.1109/ISMVL.2003.1201392

D. Koch, C. Beckhoff, and J. Teich, ReCoBus-Builder — A novel tool and technique to build statically and dynamically reconfigurable systems for FPGAS, 2008 International Conference on Field Programmable Logic and Applications, 2008.
DOI : 10.1109/FPL.2008.4629918

I. Kuon and J. Rose, Measuring the Gap Between FPGAs and ASICs, International Symposium on Field Programmable Gate Arrays, pp.21-30, 2006.

A. Kupriyanov, MAML -An Architecture Description Language for Modeling and Simulation of Processor Array Architectures, Department of Computer Science, vol.12, 2006.

L. Lagadec, Abstraction, modélisation et outils de CAO pour les circuits intégrés reconfigurables, 2000.

L. Lagadec, D. Picard, and P. Y. Lucas, Teaching reconfigurable computer : the Biniou approach, international workshop on Reconfigurable Communication-centric Systems on Chip -ReCoSoc, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00491907

J. Lallet, Plate-forme générique de modélisation et de conception d'architectures reconfigurables dynamiquement, 2008.

D. Lattard, E. Beigne, C. Bernard, C. Bour, and F. Clermidy, A Telecom Baseband Circuit based on an Asynchronous Network-on-Chip, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp.258-601, 2007.
DOI : 10.1109/ISSCC.2007.373392

L. Li, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, A Crosstalk Aware Interconnect with Variable Cycle Transmission, EEE/ACM International Conference on Design, Automation and Test in Europe, 2004.

S. Liao, SUIF Explorer : an Interactive and Interprocedural Parallelizer, 2000.

E. Lubbers and M. Planner, ReconOS: An RTOS Supporting Hard-and Software Threads, 2007 International Conference on Field Programmable Logic and Applications, pp.441-446, 2007.
DOI : 10.1109/FPL.2007.4380686

D. Mcgrath, Altera to offer partial reconfiguration at 28-nm. EETimes ( http ://www.eetimes.com/showArticle, 2010.

H. Meyer, Analysis and Design of Low Power Digital Multipliers, 1999.

F. Moraes, N. Calazans, A. Mello, L. Moller, and L. Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip, Integration, the VLSI Journal, vol.38, issue.1, pp.69-93, 2004.
DOI : 10.1016/j.vlsi.2004.03.003

V. Narayanan and Y. Xie, Reliability concerns in embedded system designs, Computer, vol.39, issue.1, pp.118-120, 2006.
DOI : 10.1109/MC.2006.31

J. Nurmi, J. Isoaho, A. Jantsch, and H. Tenhunen, Interconnect-centric design for advanced SoC and NoC, 2004.
DOI : 10.1007/b117241

. Nvidia, The Next Generation CUDA Architecture, Code Named Fermi The Soul of a Supercomputer in the Body of a GPU, 2010.

T. Ojanpera and R. Prasad, WCDMA : Towards IP Mobility and Mobile Internet, 2001.

E. D. Olson, Multiple-valued logic circuit architecture ; supplementary symmetrical logic circuit structure (SUS-LOC) USPTO Patent 6, p.754, 2000.
DOI : 10.1109/ismvl.1999.779693

. Oversoc and . Dogme, Distributed Operating system Graphical Modelling Environment, 2010.

E. Ozer, R. Sendag, and D. Gregg, Multiple-valued logic buses for reducing bus energy in low-power systems, IEE Computers & Digital Techniques, pp.270-282, 2006.
DOI : 10.1049/ip-cdt:20050160

P. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh, Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures, IEEE Transactions on Computers, vol.54, issue.8, pp.1025-1040, 2005.
DOI : 10.1109/TC.2005.134

M. Pedram and J. M. Rabaey, Power Aware Design Methodologies, pp.201-239, 2002.
DOI : 10.1007/b101914

G. Perrouin, F. Chauvel, J. Deantoni, and J. M. , Modeling the Variability Space of Self-Adaptive Applications, Dynamic Software Product Lines Workshop, pp.15-22, 2008.
URL : https://hal.archives-ouvertes.fr/inria-00456531

J. M. Philippe, Intégration des réseaux sur silicium : optimisation des performances des couches physique et liaison, 2005.

C. Pilotto, J. R. Azambuja, and F. L. Kastensmidt, Synchronizing triple modular redundant designs in dynamic partial reconfiguration applications, Proceedings of the twenty-first annual symposium on Integrated circuits and system design, SBCCI '08, pp.199-204, 2008.
DOI : 10.1145/1404371.1404426

T. Pionteck, R. Koch, and C. Albrecht, Applying Partial Reconfiguration to Networks-On-Chips, 2006 International Conference on Field Programmable Logic and Applications, pp.1-6, 2006.
DOI : 10.1109/FPL.2006.311208

J. Pistorius, M. Hutton, A. Mishchenko, and R. Brayton, Benchmarking Method and Designs Targeting Logic Synthesis for FPGAs, Proc. of the International Workshop on Logic and Synthesis, 2007.

D. K. Pradhan and N. Vaidya, Roll-forward checkpointing scheme: a novel fault-tolerant architecture, IEEE Transactions on Computers, vol.43, issue.10, pp.1163-1174, 1994.
DOI : 10.1109/12.324542

J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits : A Design Perspective, 2002.

E. Salminen, A. Kulmala, and T. D. Hamalainen, Survey of Network-on-chip Proposals. White Paper, 2008.

H. Schmeck, Organic Computing - A New Vision for Distributed Embedded Systems, Eighth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC'05), pp.201-203, 2005.
DOI : 10.1109/ISORC.2005.42

O. Sentieys, J. P. Diguet, and J. L. Philippe, GAUT : A High Level Synthesis Tool Dedicated To Real Time Signal Processing Applications, European Design Automation Conference, 2000.

N. R. Shanbhag, Reliable and efficient system-on-chip design, Computer, vol.37, issue.3, pp.42-50, 2004.
DOI : 10.1109/MC.2004.1274003

N. R. Shanbhag, D. Nagchoudhuri, R. E. Siferd, and G. S. Visweswaran, Quaternary logic circuits in 2- mu m CMOS technology, IEEE Journal of Solid-State Circuits, vol.25, issue.3, pp.790-799, 1990.
DOI : 10.1109/4.102677

A. K. Singh, T. Srikanthan, A. Kumar, and W. Jigang, Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms, Journal of Systems Architecture, vol.56, issue.7, 2010.
DOI : 10.1016/j.sysarc.2010.04.007

M. R. Stan and W. P. Burleson, Bus-invert coding for low-power I/O, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.3, issue.1, pp.49-58, 1995.
DOI : 10.1109/92.365453

C. Steiger, H. Walder, and M. Platzner, Operating systems for reconfigurable embedded platforms: online scheduling of real-time tasks, IEEE Transactions on Computers, vol.53, issue.11, pp.1393-1407, 2004.
DOI : 10.1109/TC.2004.99

I. M. Thoidis, D. Soudris, I. Karafyllidis, and A. Thanailakis, The design of low power multiple-valued logic encoder and decoder circuits, ICECS'99. Proceedings of ICECS '99. 6th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.99EX357), pp.1623-1626, 1999.
DOI : 10.1109/ICECS.1999.814484

J. Villarreal, D. Suresh, G. Stitt, F. Vahid, and W. Najjar, Improving Software performance with Configurable Logic. Design Automation for Embedded Systems, pp.325-339, 2002.

. Xilinx, Virtex FPGA Series Configuration and Readback, Application Note XAPP138, 2005.

. Xilinx, Difference-Based Partial Reconfiguration, Application Note XAPP290, 2007.

. Xilinx, XST User Guide for Virtex-6 and Spartan-6 Devices, 2009.

I. Xilinx, Virtex-5 FPGA Configuration User Guide (UG191 v3, 2009.

H. Zhang, V. George, and J. M. Rabaey, Low-swing on-chip signaling techniques: effectiveness and robustness, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.8, issue.3, pp.264-272, 2000.
DOI : 10.1109/92.845893