. Bibliographie-personnelle-[-a1-]-d and . Chillet, Méthodologie de conception architecturale des mémoires pour circuits dédiés au traitement du signal temps réel, 1997.

. Articles-en-revues-internationales-et-nationales-[-a2-]-l, S. B. Devaux, S. Sassi, D. Pillement, D. Chillet et al., Flexible interconnection network for dynamically and partially reconfigurable architectures, International Journal on Reconfigurable Computing, 2010.

E. [. Miramond, F. Huck, A. Verdier, B. Benkhelifa, M. Granado et al., OveRSoC: A Framework for the Exploration of RTOS for RSoC Platforms, International Journal of Reconfigurable Computing, vol.1, issue.3-4, 2010.
DOI : 10.1155/2008/349465

URL : https://hal.archives-ouvertes.fr/inria-00450258

D. [. Benkhelifa, J. Chillet, B. Denoulet, B. Granado, S. Miramond et al., Operating Systems in Reconfigurable Platforms : a Survey, Submit to ACM Transactions in Embedded Computing Systems (TECS), 2009.

S. [. Chillet, O. Pillement, and . Sentieys, Ordonnancement de tâches par réseaux de neurones pour architectures de SoC hétérogènes, Traitement du signal, vol.26, issue.1, pp.77-89, 2009.

S. [. Chillet, O. Pillement, and . Sentieys, RANN: A Reconfigurable Artificial Neural Network Model for Task Scheduling on??Reconfigurable System-on-Chip, Submit to ACM Transactions on Reconfigurable Technology and Systems, 2009.
DOI : 10.1007/978-90-481-9965-5_6

URL : https://hal.archives-ouvertes.fr/inria-00480545

S. [. Chillet, O. Pillement, and . Sentieys, Real-Time Scheduling on Heterogeneous SoC Architectures Using Inhibitor Neurons in a Neural Network, 2009.

R. [. Chillet, E. David, O. Grace, and . Sentieys, Structure m??moire reconfigurable. Vers une structure de stockage faible consommation, Techniques et sciences informatiques, vol.27, issue.1-2, pp.1-2, 2008.
DOI : 10.3166/tsi.27.181-202

D. Menard, D. Chillet, and O. Sentieys, Floating-to-Fixed-Point Conversion for Digital Signal Processors, EURASIP Journal on Applied Signal Processing, vol.37, issue.8, pp.1-15, 2006.
DOI : 10.1155/ASP/2006/96421

URL : https://hal.archives-ouvertes.fr/inria-00459212

T. [. Menard, D. Saïdi, O. Chillet, and . Sentieys, Implantation d'algorithmes sp??cifi??s en virgule flottante dans les DSP virgule fixe, Technique et Science Informatiques 22, pp.783-809, 2003.
DOI : 10.3166/tsi.22.783-809

D. [. David, S. Chillet, O. Pillement, and . Sentieys, SOC Design Methodologies, ch. A Dynamically Reconfigurable Architecture for Low-Power Multimedia terminals, pp.51-62, 2002.

J. Diguet, D. Chillet, and O. Sentieys, A Framework for High Level Estimations of Signal Processing Implementations, Journal of VLSI System for Signal Image and Video Technology, vol.25, issue.3, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00089488

H. Dubois, D. Chillet, J. Philippe, and O. Sentieys, Teaching Hardware/Software System Codesign using High-Level CAD tools : a case study in image synthesis, IEEE Transactions on Education, vol.43, issue.3, 2000.

J. [. Chillet, O. Philippe, H. Sentieys, and . Dubois, Conception des unités mémoire pour des applications de traitement du signal temps réel, 1997.

J. [. Chillet, J. Diguet, O. Philippe, and . Sentieys, Méthodologie de conception des unités mémoires appliquée au traitement du signal temps réel DRAFT : Flexible interconnection network for dynamically reconfigurable architectures, Proc. of the IEEE International Conference on Field- Programmable Technology (FPT'09), 1997.

J. [. Oliva-venegas, F. Prevotet, S. Nouvel, D. Pillement, and . Chillet, Exploration for Dynamic Reconfiguration Management, 2009.

L. Devaux, D. Chillet, S. Pillement, and D. Demigny, Flexible communication support for dynamically reconfigurable FPGAS, 2009 5th Southern Conference on Programmable Logic (SPL), pp.65-70, 2009.
DOI : 10.1109/SPL.2009.4914905

D. [. Eiche, S. Chillet, O. Pillement, and . Sentieys, Flot d'ordonnancement pour architecture reconfigurable, Proc. of the Symposium en Architecture de machines (SympA'13), 2009.
URL : https://hal.archives-ouvertes.fr/inria-00450255

A. Eiche, D. Chillet, S. Pillement, and O. Sentieys, Flot d'Ordonnancement Temps Réel d'un Ensemble de Tâches Matérielles pour Architecture Reconfigurable, 2009.

D. [. Pillement, Y. Chillet, J. C. Oliva, and . Prevotet, High-Level Exploration for Dynamic Reconfiguration Management, Proc. of the International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00430950

S. Pillement and D. Chillet, High-level Model of Dynamically Reconfigurable Architectures, Proc. of the Conference on Design and Architectures for Signal and Image Processing (DASIP), 2009.
URL : https://hal.archives-ouvertes.fr/inria-00446951

S. [. Devaux, S. Sassi, D. Pillement, D. Chillet, and . Demigny, Réseau d'interconnexion flexible pour architecture reconfigurable dynamiquement et partiellement, Proc. of the Symposium en Architecture de machines (SympA'13), 2009.

J. C. Prevotet, A. Benkhelifa, B. Granado, E. Huck, B. Miramond et al., A Framework for the Exploration of RTOS Dedicated to the Management of Hardware Reconfigurable Resources, 2008 International Conference on Reconfigurable Computing and FPGAs, pp.61-66, 2008.
DOI : 10.1109/ReConFig.2008.40

URL : https://hal.archives-ouvertes.fr/hal-00404919

E. Grace, R. David, D. Chillet, and O. Sentieys, MOREA : A Memory-Oriented Reconfigurable Embedded Architecture, Conference on Design and Architectures for Signal and Image Processing, pp.124-131, 2008.
URL : https://hal.archives-ouvertes.fr/inria-00450261

S. [. Chillet, O. Pillement, and . Sentieys, Reconfigurable Artificial Neural Network Model for Task Scheduling on Reconfigurable SoC, Conference on Design and Architectures for Signal and Image Processing, pp.92-99, 2008.
URL : https://hal.archives-ouvertes.fr/inria-00450262

I. [. Chillet, S. Benkermi, O. Pillement, and . Sentieys, Hardware Task Scheduling for Heteregeneous SoC Architectures, 2007.

S. [. Chillet, O. Pillement, and . Sentieys, A Neural Network Model for Real-Time Scheduling on Heterogeneous SoC Architectures, 2007 International Joint Conference on Neural Networks, pp.12-17, 2007.
DOI : 10.1109/IJCNN.2007.4370938

S. [. Chillet, O. Pillement, and . Sentieys, Vers une implémentation matérielle d'un réseau de neurones pour le service d'ordonnancement des tâches au sein d'un SoC, 2007.

H. [. Hannig, A. Dutta, J. Kupriyanov, R. Teich, S. Schaffer et al., Co-Design of Massively Parallel Embedded Processor Architectures, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00083717

F. Verdier, J. Prévotet, A. Benkhelifa, D. Chillet, and S. Pillement, Exploring RTOS issues with a high-level model of a reconfigurable SoC platform, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00524777

L. [. Chillet, O. Abdelouel, and . Sentieys, Modèle générique de hiérarchie mémoire pour l'exploration architecturale, Symposium en Architecture de Machines, SympA'2005, 2005.

A. [. Benkermi, D. Benkhelifa, S. Chillet, J. Pillement, F. Prevotet et al., Modélisation niveau système de SoC reconfigurables, Symposium en Architecture de Machines, SympA'2005, 2005.

D. [. Abdelouel, O. Chillet, and . Sentieys, Synthèse de l'interconnexion des mémoires dans un contexte multiprocesseurs, Soumis à Majestic 2005, Troisième MAnifestation des JEunes Chercheurs dans les domaines STIC, 2005.

A. [. Benkermi, D. Benkhelifa, S. Chillet, J. Pillement, F. Prévotet et al., System-Level Modelling for Reconfigurable SoCs, DCIS'05, XX Conference on Design of Circuits and Integrated Systems Lisboa -Portugal, 2005.

A. [. Benkermi, D. Benkhelifa, S. Chillet, J. Pillement, F. Prevotet et al., System-Level Modelling for Reconfigurable SoCs, 17th Euromicro Conference on Real Time Systems, 2005.

D. [. Saillé, O. Chillet, and . Sentieys, Construction d'une hiérarchie mémoire faible consommation, Colloque Faible Tension Faible Consommation FTFC'03, 2003.

D. [. Menard, F. Chillet, O. Charot, and . Sentieys, Automatic floating-point to fixed-point conversion for DSP code generation, Proceedings of the international conference on Compilers, architecture, and synthesis for embedded systems , CASES '02, 2002.
DOI : 10.1145/581630.581674

URL : https://hal.archives-ouvertes.fr/inria-00482916

S. [. Sentieys, D. Pillement, and . Chillet, Behavioral IP Specification and Integration Framework for High- Level Design Reuse, ISQED 2002 : 3rd International Symposium on Quality Electronic Design, pp.388-393, 2002.

R. David, D. Chillet, S. Pillement, and O. Sentieys, A Compilation Framework for a Dynamically Reconfigurable Architecture, 12th International Workshop on Field-Programmable Logic and application, pp.1058-1067, 2002.
DOI : 10.1007/3-540-46117-5_108

D. [. David, S. Chillet, O. Pillement, and . Sentieys, A Compilation Framework for a Dynamically Reconfigurable Architecture, 12th IEEE International Conference on Field Programmable Logic and Applications, 2002.
DOI : 10.1007/3-540-46117-5_108

D. [. David, S. Chillet, O. Pillement, and . Sentieys, DART : A Dynamically Reconfigurable Architecture dealing with Next Generation Telecommunications Constraints, 9th IEEE Reconfigurable Architecture Workshop RAW, pp.156-0164, 2002.

D. [. David, S. Chillet, O. Pillement, and . Sentieys, Flot de Conception pour Plateforme Reconfigurable, pp.79-82, 2002.

D. [. David, S. Chillet, O. Pillement, and . Sentieys, A High-Performance dynamically reconfigurable embedded architecture, Sophia Antipolis Forum on Microelectronics, 2002.

R. David, D. Chillet, S. Pillement, and O. Sentieys, Mapping future generation mobile telecommunication applications on a dynamically reconfigurable architecture, IIEEE International Conference on Acoustics Speech and Signal Processing, 2002.
DOI : 10.1109/ICASSP.2002.1004944

S. [. Chillet, O. Pillement, and . Sentieys, Vers une approche unifiée pour la conception globale des terminaux de télécommunications, 2002.

D. [. Pillement, O. Chillet, and . Sentieys, A Virtual Component for Motion Estimation Algorithm, ERSA'02 : 2002 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2002.

S. [. David, O. Pillement, D. Sentieys, and . Chillet, Architectures Enfouies Reconfigurables Dynamiquement, Symposium en Architectures Nouvelles de Machines SYMPA'7, pp.23-32, 2001.

O. [. Pillement, D. Sentieys, E. Chillet, P. Casseau, E. Coussy et al., Design and synthesis of behavioral level virtual components, 11th IFIP Int. Conference on VLSI and System On Chip, VLSI-SOC'2001, 2001.
URL : https://hal.archives-ouvertes.fr/hal-00077881

D. [. David, S. Chillet, O. Pillement, and . Sentieys, A Dynamically Reconfigurable Architecture for Low-Power Multimedia Terminals, VLSI-SOC'01, 2001.
DOI : 10.1007/978-0-387-35597-9_5

. [. Chillet, Evolution des processeurs, Journées de la Science, 2001.

D. [. Saillé, O. Chillet, and . Sentieys, Modélisation de la consommation pour les mémoires SRAM, Colloque Faible Tension Faible Consommation FTFC'01, 2001.

O. [. Pillement, D. Sentieys, and . Chillet, Vers la définition de composants virtuels au niveau algorithmique, GRETSI'01, 2001.

R. [. Chillet, H. Yu, O. Dubois, and . Sentieys, Conception haut niveau de circuits integrés : prise en compte des problèmes liés aux interconnexions, 5th AAA Workshop on Algorithm Architecture, 2000.

J. Cousin, O. Sentieys, and D. Chillet, Multi-algorithm ASIP synthesis and power estimation for DSP applications, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353), 2000.
DOI : 10.1109/ISCAS.2000.856405

J. Dedou, D. Chillet, and O. Sentieys, Behavioral synthesis of asynchronous systems: a methodology, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349), 1999.
DOI : 10.1109/ISCAS.1999.780172

D. [. Dedou, O. Chillet, and . Sentieys, Behavioral synthesis of systems : a methodology, IEEE International Symposium on circuits and systems ISCAS'99, 1999.

J. Cousin, D. Chillet, and O. Sentieys, Conception de coeurs d'ASIP : une méthodologie, Symposium en Architectures Nouvelles de Machines : Sympa'5, 1999.

O. [. Chillet, M. Sentieys, and . Corazza, Memory unit design for real time DSP applications, Proceedings Ninth Great Lakes Symposium on VLSI, 1999.
DOI : 10.1109/GLSV.1999.757429

J. Dedou, D. Chillet, and O. Sentieys, Synthèse Architecturale des systèmes asynchrones, 1999.

M. [. Saillé, D. Denoual, O. Chillet, and . Sentieys, Un outil d'estimation de la consommation intégrant les caractéristiques du signal, Colloque CAO (Aix-en-Provence), pp.140-145, 1999.

O. [. Chillet, H. Sentieys, and . Dubois, Accès simplifié à la synthèse architecturale par Télé-CAO, Journées pédagogiques du CNFM, 1998.

[. Cousin, D. Chillet, and O. Sentieys, ASIP Design and Power Estimation for DSP Applications, Sophia Antipolis Conference on Microelectronics, SAME'98, 1998.

O. J. Dedou, D. Chillet, and O. Sentieys, Asynchronous Timing Model for High Level Synthesis for DSP Applications, European Signal Processing IX : Theories and applications, pp.98-475, 1998.

J. Cousin, D. Chillet, and O. Sentieys, Conception de circuits dédiés à une classe d'applications (ASIP) : compromis consommation -performances -flexibilité, Journées d'études SEE -Faible Tension - Faible Consommation, 1997.

J. Cousin, D. Chillet, and O. Sentieys, Conception de circuits dédiés à une classe d'applications (ASIP) : compromis consommation -performances -flexibilité, Seizième colloque Gretsi sur le traitement du signal et des images, 1997.

O. [. Chillet and . Sentieys, Conception haut niveau des unités de mémorisation, Colloque CAO de circuits intégrés et systèms, pp.213-216, 1997.

J. Diguet, O. Sentieys, D. Chillet, and E. Martin, Estimation probabiliste de la complexité de circuits VLSI pour le traitement du signal, Seiziéme colloque Gretsi sur le traitement du signal et des images, 1997.

O. [. Chillet, M. Sentieys, and . Corazza, Synthèse des unités mémoire pour le traitement du signal, Seizième colloque Gretsi sur le traitement du signal et des images, 1997.

J. Diguet, O. Sentieys, D. Chillet, and J. Philippe, VLSI High Level Synthesis of Fast Exact Least Mean Square Algorithms, 34th IEEE International Conference on Acoustic Speech, and Signal Processing, 1997.

J. [. Chillet, O. Philippe, H. Sentieys, and . Dubois, Architectures des Unités Mémoires pour des Algorithmes de Traitement du Signal Temps Réel, Symposium Architectures Nouvelles de Machines, IRISA (éditeur ), pp.87-96, 1996.

J. [. Sentieys, D. Philippe, H. Chillet, and . Dubois, Enseigner la conception de systèmes électroniques grâce aux outils de CAO : Application la synthèse d'images, Quatrième journées pédagogiques du Comité National de Formation en Micro électronique : outils de simulation et outils de conception, 1996.

J. Philippe, D. Chillet, O. Sentieys, and J. Diguet, Memory Aspects in Signal Processing and HLS Tool : Some Results, European Signal Processing Conference, 1996.

D. [. Sentieys, J. Chillet, J. Diguet, and . Philippe, Memory module selection for high level synthesis, VLSI Signal Processing, IX, 1996.
DOI : 10.1109/VLSISP.1996.558360

J. [. Chillet, O. Philippe, H. Sentieys, and . Dubois, Méthodologie de conception des unités de mémorisation pour des algorithmes de traitement du signal, Conférence AAA, CNES, pp.29-36, 1996.

. [. Chillet, Les Problèmes de mémorisation dans les algorithmes de filtrage adaptatif, 1995.

D. Franco, V. Université-parix, X. , I. Geii-ville-d-'avraya81, ]. O. Sentieys et al., GAUT : spécification VHDL pour la synthèse architecturale Rapports de recherche et de contrats Evaluation d'outils de synthèse d'architecture pour les applications de traitment du signal, 1994.

. [. Chillet, Conception des unités de mémorisation pour un outil de synthèse architecturale dédiée au traitement du signal, 1996.

D. Chillet, Étude des problèmes de mémorisation de données en vu du développement d'un outil de synthèse d'unité de mémorisation, 1995.

D. [. Sentieys, J. Chillet, E. Philippe, and . Martin, Réalisation d'une étude relative aux techniques et technologique FPGA-ASIC, 1995.

. [. Chillet, Contribution à la synthèse des unités de mémorisation pour GAUT, Stage de dea stir, 1994.

]. [. Chillet, D. Menard, O. Chillet, and . Sentieys, Réalisation d'une passerelle entre GAUT et le langage de description VHDL Stage de 3ieme annee enssat, LASTI-ENSSAT, Septembre 1992, Communication a Diffusion Restreinte Étude de faisabilité d'un récepteur satellitaire -Partie, 2000.

D. [. Chillet, O. Ménard, and . Sentieys, Étude de faisabilité d'un récepteur satellitaire : partie 1, Rapport Jessica pour la société Sofreavia, 2000.

D. Chillet and M. Guitton, Etude d'un système de conversion et de transfert en temps réel, Rapport Jessica pour la société Cylor Technologies (Pabu), 2000.

D. [. Sentieys, D. Chillet, and . Ménard, CPU embarquée pour la télésurveillance, Rapport Jessica pour la société TIMEAT (Rennes), 1999.

. Finalement, évolution des étudiants, de moins en moins disposés à s'approprier le contenu d'un cours lorsque celui-ci est trop " magistral " et qu'ils ont du mal à projeter les compétences abordées dans leur futur métier. Pour favoriser l'intérêt des étudiants , il faut renforcer leur positionnement en tant qu'acteurs de leur formation et non plus simplement en position de spectateurs des cours qui s'enchaînent. Pour parvenir à cela, une possibilité pourrait consister à démarrer les enseignements par la présentation d'un système qui capte l'intérêt des étudiants. Actuellement, un point de départ pourrait être un PDA (Personnal Digital Assistant) pour lequel on amènerait progressivement l'étudiant d'une position d'utilisateur à une position de concepteur

I. Benkermi, Modèle et algorithme d'ordonnancement pour architectures reconfigurables dynamiquement, 2007.

J. G. Cousin, Méthodologie de conception de coeurs de processeurs spécifiques : mise en oeuvre sous contraintes, estimation de la consommation, 1999.

R. Yu, Estimation de haut niveau du placement et des interconnexions dans les circuits VLSI submicroniques, 2002.

E. Kinvi-boh, Conception de circuits en logique ternaire : de la caractérisation au niveau transistor à la modélisation architecturale, 2006.

J. O. Dedou, Synthèse de haut niveau d'architectures asynchrones en traitement numérique du signal, 2000.

L. John, D. A. Hennessy, and . Patterson, Computer Architecture -A Quantitative Approach, 2007.

D. Wiklund, Data and memory optimization techniques for embedded systems, 2001.

J. Mellor-crummey, D. Whalley, and K. Kennedy, Improving memory hierarchy performance for irregular applications using data and computation reorderings, International Journal of Parallel Programming, vol.29, issue.3, pp.217-247, 2001.
DOI : 10.1023/A:1011119519789

A. Fraboulet, G. Huard, and A. Mignotte, Optimisation de la consommation et de la place mémoire par transformations de boucles. Colloque CAO de circuits intégrés et systèmes, 1999.

R. Tessier, V. Betz, D. Neto, and T. Gopalsamy, Power-aware RAM mapping for FPGA embedded memory blocks, Proceedings of the internation symposium on Field programmable gate arrays , FPGA'06, pp.189-198, 2006.
DOI : 10.1145/1117201.1117229

W. Shiue, S. Udayanarayanan, and C. Chakrabarti, Data memory design and exploration for low-power embedded systems, ACM Transactions on Design Automation of Electronic Systems, vol.6, issue.4, pp.553-568, 2001.
DOI : 10.1145/502175.502182

P. Francesco, P. Marchal, D. Atienza, L. Benini, F. Catthoor et al., An integrated hardware/software approach for run-time scratchpad management, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.238-243, 2004.
DOI : 10.1145/996566.996634

M. Paul, D. A. Petersen, and . Padua, Static and dynamic evaluation of data dependence analysis techniques, IEEE Trans. Parallel Distrib. Syst, vol.7, issue.11, pp.1121-1132, 1996.

H. Amir, G. E. Farrahi, M. Téllez, and . Sarrafzadeh, Memory segmentation to exploit sleep mode operation, DAC '95 : Proceedings of the 32nd ACM/IEEE conference on Design automation, pp.36-41, 1995.

C. Bastoul, Amélioration de la localité dans les programmes à contrôle statique, 2004.

A. Fraboulet, Optimisation de la mémoire et de la consommation des systèmes multimédia embarqués, 2001.

K. S. Mckinley, S. Carr, and C. Tseng, Improving data locality with loop transformations, ACM Transactions on Programming Languages and Systems, vol.18, issue.4, pp.424-453, 1996.
DOI : 10.1145/233561.233564

B. Franke, O. Michael, J. Boyle, G. Thomson, and . Fursin, Probabilistic source-level optimisation of embedded programs, LCTES '05 : Proceedings of the 2005 ACM Sigplan/Sigbed Conference on Languages , compilers, and tools for embedded systems, pp.78-86, 2005.

P. Kulkarni, W. Zhao, H. Moon, K. Cho, D. Whalley et al., Finding effective optimization phase sequences, LCTES '03 : Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems, pp.12-23, 2003.

R. Preeti, F. Panda, N. D. Catthoor, K. Dutt, E. Danckaert et al., Data and memory optimization techniques for embedded systems, ACM Transactions on Design Automation of Electronic Systems, vol.6, issue.2, pp.149-206, 2001.

D. N. Truong, Optimisations logicielles de la localité, le placement précis des données en mémoire, 1998.

X. Vera, J. Llosa, and A. Gonzalez, Near-Optimal Padding for Removing Conflict Misses, 2002.
DOI : 10.1007/11596110_22

L. Benini, D. Bruni, A. Maciiand, and E. Maci, Memory energy minimization by data compression: algorithms, architectures and implementation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.12, issue.3, pp.255-268, 2004.
DOI : 10.1109/TVLSI.2004.824304

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2006/060515_ytlin_paper.pdf

A. Beszedes, R. Ferenc, T. Gyimothy, A. Dolenc, and K. Karsisto, Survey of code-size reduction methods, ACM Computing Surveys, vol.35, issue.3, pp.223-267, 2003.
DOI : 10.1145/937503.937504

B. Shackleford, M. Yasuda, E. Okushi, H. Koizumi, H. Tomiyama et al., Memory cpu size optimization for embedded system designs, DAC '97 : Proceedings of the 34th annual conference on Design automation, pp.246-251, 1997.
DOI : 10.1109/dac.1997.597152

M. Loghi, . Golubeva, M. Macii, and . Poncino, Architectural leakage power minimization of scratchpad memories by application-driven sub-banking. Computers, IEEE Transactions on, issue.99, pp.1-1, 2010.

M. Kandemir, J. Ramanujam, M. J. Irwin, N. Vijaykrishnan, I. Kadayif et al., A Compiler-Based Approach for Dynamically Managing Scratch-Pad Memories in Embedded Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.2, pp.243-260, 2004.
DOI : 10.1109/TCAD.2003.822123

M. Idrissi, A. , and O. Zendra, A survey of scratch-pad memory management techniques for lowpower and-energy, ICOOOLPS, 2007.
URL : https://hal.archives-ouvertes.fr/inria-00170210

M. Kandemir, M. J. Irwin, G. Chen, and I. Kolcu, Banked scratch-pad memory management for reducing leakage energy consumption, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004., pp.120-124, 2004.
DOI : 10.1109/ICCAD.2004.1382555

S. Mai, C. Zhang, Y. Zhao-chao, and Z. Wang, An application-specific memory partitioning method for low power, pp.221-224, 2007.

T. Matsumura, T. Ishihara, and H. Yasuura, An Optimization Technique for Low-Energy Embedded Memory Systems, IPSJ Transactions on System LSI Design Methodology, vol.2, pp.239-249, 2009.
DOI : 10.2197/ipsjtsldm.2.239

A. Naz, M. Rezaei, K. Kavi, and P. Sweany, Improving data cache performance with integrated use of split caches, victim cache and stream buffers, ACM SIGARCH Computer Architecture News, vol.33, issue.3, pp.41-48, 2005.
DOI : 10.1145/1101868.1101876

C. Zhang and F. Vahid, Cache configuration exploration on prototyping platforms, Proceedings of the 14th IEEE International Workshop on Rapid System Prototyping (RSP'03), p.164, 2003.

C. Zhang and F. Vahid, Using a victim buffer in an application-specific memory hierarchy, DATE'04 : Proceedings of the conference on Design, automation and test in Europe, pp.220-225, 2004.

R. Saied and C. Chakrabarti, Scheduling for minimizing the number of memory accesses in low power applications, VLSI Signal Processing, IX, pp.169-178, 1996.
DOI : 10.1109/VLSISP.1996.558322

J. Seo, T. Kim, and P. R. Panda, An integrated algorithm for memory allocation and assignment in high-level synthesis, Proceedings of the 39th conference on Design automation , DAC '02, pp.608-611, 2002.
DOI : 10.1145/513918.514072

S. Wuytack, F. Catthoor, G. D. Jong, and H. De-man, Minimizing the required memory bandwidth in VLSI system realizations, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.7, issue.4, pp.433-441, 1999.
DOI : 10.1109/92.805750

C. Lyuh and T. Kim, Memory access scheduling and binding considering energy minimization in multi-bank memory systems, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.81-86, 2004.
DOI : 10.1145/996566.996596

G. Corre, Synthèse des unités mémoires, 2005.

G. Talavera, M. Jayapala, J. Carrabina, and F. Catthoor, Address Generation Optimization for Embedded High-Performance Processors: A Survey, Journal of Signal Processing Systems, vol.38, issue.5, pp.271-284, 2008.
DOI : 10.1007/s11265-008-0165-y

F. Catthoor, S. Wuytack, E. De-greef, F. Balasa, L. Nachtergaele et al., Custom Memory Management Methodology, 1998.
DOI : 10.1007/978-1-4757-2849-1

D. Patterson, T. Anderson, N. Cardwell, R. Fromm, K. Keeton et al., A case for intelligent RAM, IEEE Micro, vol.17, issue.2, pp.34-44, 1997.
DOI : 10.1109/40.592312

R. Fromm, S. Perissakis, N. Cardwell, C. E. Kozyrakis, B. Mcgaughy et al., The energy efficiency of IRAM architectures, ISCA, pp.327-337, 1997.

J. Gebis, S. Williams, D. Patterson, and C. Kozyrakis, Viram1 : A media-oriented vector processor with embedded dram, DAC04, pp.7-11, 2004.

S. Amarasinghe, The future. Lecture 18, MIT, 2007.

R. David, Architectures enfouies reconfigurables dynamiquement pour les télécommunications mobiles 3G : modèle et outils, 2003.

R. David, D. Lavenier, and S. Pillement, Du microprocesseur au circuit FPGA. Une analyse sous l'angle de la reconfiguration, Techniques et sciences informatiques, vol.24, issue.4, pp.395-422, 2005.
DOI : 10.3166/tsi.24.395-422

V. Baumgarte, G. Ehlers, F. May, A. Nückel, M. Vorbach et al., Pact xpp ? a self-reconfigurable data processing architecture, The Journal of Supercomputing, vol.26, issue.2, pp.167-184, 2003.
DOI : 10.1023/A:1024499601571

R. David, S. Pillement, and O. Sentieys, Energy-Efficient Reconfigurable Processsors, Computer Engineering, vol.1, issue.20, 2004.
DOI : 10.1201/9781420037203.ch3

S. Chevobbe, Unité de commande pour systèmes parallèles contrôleur basé sur l'implémentation dynamique de réseaux de Petri, 2005.

J. Masgonty, S. Cserveny, and C. Piguet, Low-power sram and rom memories, PAT- MOS'01 : Proceedings of the 11th International Workshop on Power and Timing Modeling, Optimization and Simulation, pp.7-11, 2001.

K. Keutzer, S. Malik, A. R. Newton, J. M. Rabaey, and A. Sangiovanni-vincentelli, System-level design: orthogonalization of concerns and platform-based design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.12, pp.1523-1543, 2000.
DOI : 10.1109/43.898830

O. Diessel and G. Wigley, Opportunities for Operating Systems Research in Reconfigurable Computing, 1999.

V. Nollet, P. Coene, D. Verkest, S. Vernalde, and R. Lauwereins, Designing an operating system for a heterogeneous reconfigurable SoC, Proceedings International Parallel and Distributed Processing Symposium, 2003.
DOI : 10.1109/IPDPS.2003.1213320

H. Walder and M. Platzner, Reconfigurable Hardware Operating Systems : From Design Concepts to Realizations, Proceedings of the 3rd International Conference on Engineering of Reconfigurable Systems and Architectures (ERSA), pp.284-287, 2003.

M. Ullmann, M. H¸bne, B. Grimm, and J. Becker, On-Demand FPGA Run-Time System for Dynamical Reconfiguration with Adaptive Priorities, Field Programmable Logic and Application : 14th International Conference, FPL, pp.454-463, 2004.
DOI : 10.1007/978-3-540-30117-2_47

I. Benkermi, A. Benkhelifa, D. Chillet, S. Pillement, J. C. Prèvotet et al., System-level modelling for reconfigurable socs, DCIS'05, XX Conference on Design of Circuits and Integrated Systems Lisboa - Portugal, 2005.

A. Fpslic, AVR with FPGA) http://www.atmel.com/products/FPSLIC/. [62] H. Schueler. Smart media processing with XPP, white paper, 2003.

R. David, D. Chillet, S. Pillement, and O. Sentieys, Dart : A dynamically reconfigurable architecture dealing with next generation telecommunications constraints, 2002.

G. Nicolescu and J. Mosterman, Model-Based Design for Embedded Systems, 2009.
DOI : 10.1201/9781420067859

URL : https://hal.archives-ouvertes.fr/hal-00008091

S. Evain, J. P. Diguet, M. Khodary, and D. Houzet, Automated derivation of NoC Communication Specifications from Application Constraints, 2006 IEEE Workshop on Signal Processing Systems Design and Implementation, pp.238-243, 2006.
DOI : 10.1109/SIPS.2006.352588

URL : https://hal.archives-ouvertes.fr/hal-00106147

K. Goossens, J. Dielissen, O. Prakash-gangwal, S. Gonzalez-pestana, A. Radulescu et al., A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification, Design, Automation and Test in Europe, pp.1182-1187, 2005.
DOI : 10.1109/DATE.2005.11

URL : https://hal.archives-ouvertes.fr/hal-00181291

L. Benini and G. Demicheli, Networks on chip: a new paradigm for systems on chip design, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.70-78, 2002.
DOI : 10.1109/DATE.2002.998307

L. Ma and Y. Sun, On-chip network design automation with source routing switches, Tsinghua Science and Technology, vol.12, issue.1, pp.77-85, 2007.
DOI : 10.1016/S1007-0214(07)70012-3

A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Oberg et al., Network on chip : An Architecture for Billion Transistor Era, 2000.

K. Bazargan, R. Kastner, and M. Sarrafzadeh, Fast template placement for reconfigurable computing systems, IEEE Design and Test -Special Issue on Reconfigurable Computing, pp.68-83, 2000.
DOI : 10.1109/54.825678

E. Salminen, A. Kulmala, and T. D. Hamalainen, Survey of network-on-chip proposals. OCP-IP White Paper, 2008.

V. Nollet, T. Marescaux, P. Avasare, and J. Mignolet, Centralized Run-Time Resource Management in a Network-on-Chip Containing Reconfigurable Hardware Tiles, Design, Automation and Test in Europe, pp.234-239, 2005.
DOI : 10.1109/DATE.2005.91

URL : https://hal.archives-ouvertes.fr/hal-00181521

A. Morton and W. M. Loucks, A hardware/software kernel for system on chip designs, Proceedings of the 2004 ACM symposium on Applied computing , SAC '04, pp.869-875, 2004.
DOI : 10.1145/967900.968077

T. Samuelsson, M. Akerholm, P. Nygren, J. Starner, and L. Lindh, A comparison of multiprocessor real-time operating systems implemented in hardware and software, International workshop on advanced real-time operating system services, 2003.

P. Kuacharoen, M. Shalan, V. Mooney, and I. , A configurable hardware scheduler for real-time systems, Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, pp.96-101, 2003.

P. Kohout, B. Ganesh, and B. Jacob, Hardware support for real-time operating systems, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, pp.45-51, 2003.
DOI : 10.1145/944654.944656

M. Shalan, I. Vincent, and J. Mooney, Hardware support for real-time embedded multiprocessor system-on-a-chip memory management, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, pp.79-84, 2002.
DOI : 10.1145/774789.774806

N. Ventroux, S. Chevobbe, F. Blanc, and T. Collette, An Auto-adaptative Reconfigurable Architecture for the Control, Proceedings of the 9th Asia-Pacific Computer Systems Architecture Conference (ACSAC'04), 2004.
DOI : 10.1007/978-3-540-30102-8_7

C. Cardeira, M. Silva, and Z. Mammeri, Handling precedence constraints with neural network based realtime scheduling algorithms. ecrts, 9th Euromicro Workshop on Real Time Systems (euromicro-rts '97), p.207, 1997.

J. J. Hopfield, Neural networks and physical systems with emergent collective computational abilities, Proceedings of the National Academy of Science, pp.2554-2562, 1982.

G. Tagliarini, J. Christ, and W. E. Page, Optimization using neural networks, IEEE Transactions on Computers, vol.40, issue.12, pp.1347-58, 1991.
DOI : 10.1109/12.106220

C. Cardeira and Z. Mammeri, PREEMPTIVE AND NON-PREEMPTIVE REAL-TIME SCHEDULING BASED ON NEURAL NETWORKS, Proceedings DDCS'95, pp.67-72, 1995.
DOI : 10.1016/B978-0-08-042593-1.50015-8

J. J. Hopfield and D. W. Tank, Computation of decisions in optimization problems, Biological Cybernetics, vol.52, pp.141-52, 1985.

S. Grossberg, Studies of Mind and Brain : Neural Principles of Learning, Perception, Development, Cognition , and Motor Control, 1982.
DOI : 10.1007/978-94-009-7758-7