E. Amory, E. Briao, M. Cota, F. G. Lubaszewski, A. Andriahantenaina et al., Micro-Network for SoC: Implementation of a 32-Port SPIN network SPIN: a scalable, packet switched, on-chip micronetwork Xpipes: A network-on-chip architecture for gigascale systems-on-chip Network-on-chip architectures and design methods Bertot and P. Castéran. Interactive Theorem Proving and Program Development. Coq'Art: The Calculus of Inductive Constructions. Texts in Theoretical Computer Science A RTL-Level Analysis of a Hierarchical Ring Interconnect for Network-on-Chip Multi-Processors, Proceedings of the International Test Conference, ITC'05 Europe Conference and Exhibition Proceedings of Conference on Design, Automation and Test in Europe, DATE'03 IEEE Circuits and Systems Magazine IEE Proceedings on Computers and Digital Techniques Proceedings of International SystemBDM02] L Benini and G. De Micheli. Networks on chip: a new paradigm for systems on chip design Proceedings of Conference on Design, Automation and Test in Europe, DATE'02BFS95] R. Bharadwaj, A. Felty, and F. Stomp. Formalizing Inductive Proofs of Network Algorithms Proceedings of 1995 Asian Computing Science Conference, pp.591-59911128, 1995.

D. Borrione, A. Helmy, L. Pierre, and J. Schmaltz, A Formal Approach to the Verification of Networks on Chip, EURASIP Journal on Embedded Systems, vol.2009, issue.1, 2009.
DOI : 10.1016/j.vlsi.2004.03.003

URL : https://hal.archives-ouvertes.fr/hal-00419368

M. [. Brock, J. S. Kaufmann, and . Moore, ACL2 theorems about commercial microprocessors, Proceedings of the First International Conference on Formal Methods in Computer-Aided Design, FMCAD'96, pp.275-293, 1996.
DOI : 10.1007/BFb0031816

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.28.2678

S. [. Bjerregaard and . Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006.
DOI : 10.1145/1132952.1132953

. A. Bmm07, R. Banerjee, S. Mullins, and . Moore, A power and energy exploration of network-on-chip architectures, Proceedings of the ACM/IEEE Int

Y. [. Boyer and . Yuan, Automated proofs of object code for a widely used microprocessor, Journal of the ACM, vol.43, issue.1, pp.166-192, 1996.
DOI : 10.1145/227595.227603

Z. [. Boulé and . Zilic, Automata-based assertion-checker synthesis of PSL properties, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.1, pp.1-21, 2008.
DOI : 10.1145/1297666.1297670

. S. Cba-+-07-]-j, S. Chenard, N. Bourduas, M. Azuelos, Z. Boulé et al., Hardware Assertion Checkers in On-line Detection of Network-on-Chip Faults, Proceedings of the Workshop on Diagnostic Services in Networks-on- Chips, 2007.

E. [. Clarke and . Emerson, Design and Synthesis of Synchronisation Skeletons Using Branching-Time Temporal Logic, Logic of Programs, pp.52-71, 1981.

O. [. Clarke, S. Grumberg, and . Jha, Verifying parameterized networks, ACM Transactions on Programming Languages and Systems, vol.19, issue.5, pp.726-750, 1997.
DOI : 10.1145/265943.265960

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.60.8852

M. Coppola, R. Locatelli, G. Maruccia, L. Pieralisi, and A. Scandurra, Spidergon: a novel on-chip communication network, 2004 International Symposium on System-on-Chip, 2004. Proceedings., 2004.
DOI : 10.1109/ISSOC.2004.1411133

]. P. Cur94 and . Curzon, Experiences formally verifying a network component, Proceedings of IEEE Conference on Computer Assurance, 1994.

D. [. Clermidy, D. Varreau, and . Lattard, A NoC-based communication framework for seamless IP integration in complex systems, Proceedings Design & Reuse IP-SoC '05, 2005.

]. W. Dal92 and . Dally, Virtual-channel flow control, IEEE Trans. Parallel Distrib. Syst, vol.3, issue.2, pp.194-205, 1992.

C. [. Durand, D. Bernard, and . Lattard, FAUST: On-Chip Distributed Architecture for a 4G Baseband Modem SoC, Design & Reuse IP- SoC'05, 2005.

S. [. Dally and . Lacy, VLSI architecture: past, present, and future, Proceedings 20th Anniversary Conference on Advanced Research in VLSI, pp.232-241, 1999.
DOI : 10.1109/ARVLSI.1999.756051

C. [. Dally and . Seitz, The torus routing chip, Distributed Computing, vol.28, issue.1, pp.187-196, 1986.
DOI : 10.1007/BF01660031

B. [. Dally and . Towles, Principles and Practices of Interconnection Networks, 2003.

]. M. Dua03 and . Duarte, Networks on Chip (NOC): Design Challenges, International Conference on Computer Architecture, ICCA'03, pp.121-128, 2003.

M. Gschwind, H. P. Hofstee, B. Flachs, M. Hopkins, Y. Watanabe et al., Synergistic Processing in Cell's Multicore Architecture, IEEE Micro, vol.26, issue.2, pp.10-24, 2006.
DOI : 10.1109/MM.2006.41

. Gis-+-06-]-c, A. Grecu, R. Ivanov, E. Saleh, P. Sogomonyan et al., On-line Fault Detection and Location for NoC Interconnects, Proceedings of the International On-Line Testing Symposium, IOLTS'06, 2006.

T. [. Gordon and . Melham, Introduction to HOL: A Theorem Proving Environment for Higher Order Logic, 1993.

L. [. Glass and . Ni, The Turn Model for Adaptive Routing, Proceedings of the 19th Annual International Symposium on Computer Architecture, pp.278-287, 1992.

]. K. Goo05 and . Goossens, Formal Methods for Networks on Chips, Proceedings of the Fifth International Conference on Application of Concurrency to System Design, ACSD'05, pp.188-189, 2005.

B. [. Goossens, R. Vermeulen, M. Van-steeden, and . Bennebroek, Transaction-Based Communication-Centric Debug, First International Symposium on Networks-on-Chip (NOCS'07), 2007.
DOI : 10.1109/NOCS.2007.46

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.64.3956

. Gvz-+-05-]-b, F. W. Gebremichael, M. Vaandrager, K. Zhang, E. Goossens et al., Deadlock Prevention in the AEthereal Protocol, Proceedings of CHARME'05, 2005.

D. [. Herzberg and . Broy, Modeling layered distributed communication systems, Formal Aspects of Computing, vol.17, issue.1, pp.1-18, 2005.
DOI : 10.1007/s00165-004-0051-8

A. [. Hellwagner and . Reinefeld, SCI: Scalable Coherent Interface , Architecture and Software for High-Performance Computer Clusters, 1999.

[. Hu and F. N. Sibai, Performance analysis and optimal system configuration of hierarchical two-level COMA multiprocessors, Proceedings of the Fifth Symposium on the Frontiers of Massively Parallel Computation , Frontiers'95, p.90, 1995.

A. Jantsch, Models of Computation for Networks on Chip, Sixth International Conference on Application of Concurrency to System Design (ACSD'06), pp.165-178, 2006.
DOI : 10.1109/ACSD.2006.14

A. Kumar, M. Jantsch, J. Millberg, J. P. Oberg, M. Soininen et al., A network on chip architecture and design methodology. VLSI Structured Theory Development for a Mechanized Logic, IEEE Computer Society Annual Symposium on Journal of Automated Reasoning, vol.26, issue.2, pp.117161-203, 2001.

P. [. Kaufmann, J. S. Manolios, and . Moore, Computer Aided Reasoning: ACL2 Case Studies, 2000.

P. [. Kaufmann, J. Manolios, and . Moore, Computer Aided Reasoning: an Approach, 2002.

A. [. Karim, S. Nguyen, and . Dey, An interconnect architecture for networking systems on chips, IEEE Micro, vol.22, issue.5, pp.36-45, 2002.
DOI : 10.1109/MM.2002.1044298

M. [. Kistler, F. Perrone, and . Petrini, Cell Multiprocessor Communication Network: Built for Speed, IEEE Micro, vol.26, issue.3, pp.10-23, 2006.
DOI : 10.1109/MM.2006.49

. Ksjy-+-08-]-k, L. Kwanho, K. Seungjin, K. Joo-young, K. Minsu et al., A 125GOPS 583mW Network-on-chip Based Parallel Processor with Bio-inspired Visual Attention Engine, Proceedings of the IEEE International Solid-State Circuits Conference, pp.8-136, 2008.

]. D. Law75 and . Lawrie, Access and Alignment of Data in an Array Processor, IEEE Trans. Comput, vol.24, issue.12, pp.1145-1155, 1975.

A. [. Lahiri, S. Raghunathan, and . Dey, Evaluation of the trafficperformance characteristics of system-on-chip communication architectures, Proceedings of the The 14th International Conference on VLSI Design, VLSID '01, pp.29-35, 2001.

H. [. Meyer-auf-der-heide, M. Räcke, and . Westermann, Data management in hierarchical bus networks, Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures , SPAA '00, pp.109-118, 2000.
DOI : 10.1145/341800.341814

]. S. Mah94 and . Mahmud, Performance Analysis of Multilevel Bus Networks for Hierarchical Multiprocessors, IEEE Transactions on Computers, vol.43, issue.7, pp.789-805, 1994.

A. Calazans, L. Mello, L. Möller, and . Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip, Integration, The VLSI Journal, vol.38, issue.1, pp.69-93, 2004.

]. S. Mdmb-+-05, G. Murali, L. De-micheli, T. Benini, N. Theocharides et al., Analysis of Error Recovery Schemes for Networks on Chips, Design & Test of Computers, vol.22, issue.5, 2005.

A. [. Miner, L. Geser, J. Pike, and . Maddalon, A Unified Fault-Tolerance Protocol, Proceedings Formal Techniques, Modeling and Analysis of Timed and Fault-Tolerant Systems, pp.167-182, 2004.
DOI : 10.1007/978-3-540-30206-3_13

J. S. Moore, T. Lynch, and M. Kaufmann, A Mechanically Checked Proof of the Correctness of the Kernel of the AMD5K86 Floating-Point Division Algorithm, IEEE Trans. on Computers, issue.9, p.47, 1998.

]. S. Mma-+-06, P. Murali, F. Meloni, D. Angiolini, S. Atienza et al., Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems-on-Chips, 4th Annual IFIP International Conference on Very Large Scale Integration (VLSI-SoC), 2006.

E. [. Millberg, R. Nilsson, A. Thid, and . Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269001

J. S. Moore, A formal model of asynchronous communication and its use in mechanically verifying a biphase mark protocol, Formal Aspects of Computing, vol.12, issue.9, pp.60-91, 1993.
DOI : 10.1007/BF01211081

]. J. Moo98 and . Moore, Symbolic Simulation: An ACL2 Approach, Proceedings of the Second International Conference on Formal Methods in Computer- Aided Design, FMCAD '98, 1998.

K. [. Moreno, N. L. Popovici, A. A. Calazans, and . Jerraya, Integrating Abstract NoC Models within MPSoC Design, 2008 The 19th IEEE/IFIP International Symposium on Rapid System Prototyping, pp.8-65, 2008.
DOI : 10.1109/RSP.2008.29

URL : https://hal.archives-ouvertes.fr/hal-00293648

F. [. Miro-panades, P. Clermidy, A. Vivet, and . Greiner, Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008), pp.139-148, 2008.
DOI : 10.1109/NOCS.2008.4492733

URL : https://hal.archives-ouvertes.fr/hal-01299217

[. Panades, A. Greiner, and A. Sheibanyrad, A Low Cost Networkon-Chip with Guaranteed Service Well Suited to the GALS Approach, Proceedings of 1st International Conference on Nano-Networks and Workshops, NanoNet '06, pp.1-5, 2006.

[. Nilsson, Experiments of the proximity congestion awareness with the nostrum backbone, Proceedings of teh Swedish System-on-Chip Conference, SSoCC'03, 2003.

S. [. Nesson and . Johnsson, ROMM routing on mesh and torus networks, Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures , SPAA '95, pp.275-287, 1995.
DOI : 10.1145/215399.215455

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.35.9221

]. J. Nob02 and . Noblanc, EDA and Systems-on-Chip: a Key challenge for MEDEA+, MEDEA+ design Automation Conference, 2002.

J. [. Nielsen and . Sparsø, Analysis of low-power SoC interconnection networks, IEEE 19th Norchip Conference, pp.77-86, 2001.

[. Ouchet, D. Borrione, K. Morin-allory, and L. Pierre, High-level symbolic simulation for automatic model extraction, 2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2009.
DOI : 10.1109/DDECS.2009.5012132

URL : https://hal.archives-ouvertes.fr/hal-00417314

J. [. Ogras, R. Hu, and . Marculescu, Key research problems in NoC design, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES+ISSS '05, pp.69-74, 2005.
DOI : 10.1145/1084834.1084856

K. [. Oddos, D. Morin-allory, and . Borrione, Assertion-Based Design with Horus, 2008 6th ACM/IEEE International Conference on Formal Methods and Models for Co-Design, pp.75-76, 2008.
DOI : 10.1109/MEMCOD.2008.4547691

URL : https://hal.archives-ouvertes.fr/hal-00321962

N. [. Owre, J. Shankar, and . Rushby, User Guide for the PVS Specification and Verification System, Language, and Proof Checker, 1993.

. Pdmg-+-05-]-p, G. Pande, C. De-micheli, A. Grecu, R. Ivanov et al., Design, Synthesis , and Test of Networks on Chips, IEEE Design & Test of Computers, vol.22, issue.5, pp.404-413, 2005.

]. L. Pik07 and . Pike, Modeling Time-Triggered Protocols and Verifying Their Real- Time Schedules, Proceedings of Formal Methods in Computer Aided Design (FMCAD'07, 2007.

J. [. Petersén and . Oberg, Toward a Scalable Test Methodology for 2D-mesh Network-on-Chips, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.367-372, 2004.
DOI : 10.1109/DATE.2007.364619

J. [. Queille and . Sifakis, Specification and verification of concurrent systems in CESAR, International Symposium on Programming, pp.337-351, 1982.
DOI : 10.1007/3-540-11494-7_22

D. J. Ramas, M. Atienza, I. Peon, J. Magan, R. Mendias et al., Versatile FPGA-Based Functional Validation Framework for Networkson-Chip Interconnections Designs, Proceedings of Parallel Computing (mini-symposium NoC), ParCo'2005, 2005.

E. Rijpkema, K. G. Goossens, A. Radulescu, J. Dielissen, J. Van-meerbergen et al., Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip, DATE '03: Proceedings of the conference on Design, Automation and Test in Europe, p.10350, 2003.

A. [. Rowson and . Sangiovanni-vincentelli, Interface-Based Design, Proceedings of the 34th Conference on Design Automation Conference (DAC'96), pp.178-183, 1997.

J. Rushby, Systematic formal verification for fault-tolerant time-triggered algorithms, IEEE Transactions on Software Engineering, vol.25, issue.5, pp.651-660, 1999.
DOI : 10.1109/32.815324

T. [. Schäfer, H. Hollstein, M. Zimmer, and . Glesner, Deadlock-free routing and Component placement for irregular mesh-based networks-onchip, Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, ICCAD '05, pp.238-245, 2005.

L. [. Se-joong, S. Kangmin, Y. Seong-jun, and . Hoi-jun, Packet-switched on-chip interconnection network for system-on-chip applications, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.52, issue.6, pp.308-312, 2005.
DOI : 10.1109/TCSII.2005.848972

M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik et al., Addressing the system-on-a-chip interconnect woes through communication-based design, Proceedings of the 38th conference on Design automation , DAC '01, pp.667-672, 2001.
DOI : 10.1145/378239.379045

J. [. Verbeek and . Schmaltz, Formal validation of deadlock prevention in networks-on-chips, Proceedings of the Eighth International Workshop on the ACL2 Theorem Prover and its Applications, ACL2 '09, 2009.
DOI : 10.1145/1637837.1637858

J. [. Verbeek and . Schmaltz, Formal specification of networks-on-chips: deadlock and evacuation, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), 2010.
DOI : 10.1109/DATE.2010.5457089

. [. Wolf-dietrich, Enabling Reuse via an IP Core-centric Communications Protocol: Open Core Protocol, Proceedings of IP use in SOC design, IPSoC'00, 2000.

]. J. Yos05 and . Yoshida, Texas Instruments launches DaVinci platform. EEtimes, p.page online, 2005.