J. Segura and F. C. Hawkins, How it works, how it fails, pp.0-471, 2004.

S. I. Association and E. , The Technology Roadmap for Semiconductors : technology Needs, 1997.

V. D. Agrawal, S. C. Seth, and P. Agrawal, Fault coverage requirement in production testing of LSI circuits, IEEE Journal of Solid-State Circuits, vol.17, issue.1, pp.17-57, 1982.
DOI : 10.1109/JSSC.1982.1051686

E. , M. Cluskey, and F. Buelow, Ic quality and test transparency, Int. Test Conf, pp.295-301, 1988.

P. Maxwell, R. Aitken, V. Johansen, and I. Chiang, The effect of different test sets on quality level prediction, Int. Test Conf, pp.358-354, 1991.

T. W. Williams and N. C. Brown, Defect Level as a Function of Fault Coverage, IEEE Transactions on Computers, vol.30, issue.12, pp.987-988, 1981.
DOI : 10.1109/TC.1981.1675742

R. Kapur, J. Park, and M. R. Mercer, All tests for a fault are not equally valuable for defect detection, Int. Test Conf, pp.762-769, 1992.

J. P. Hayes, Fault modeling, IEEE Design and Test of Computers, pp.37-44, 1985.

W. Maly, Realistic fault modeling for VLSI testing, 24th ACM/IEEE conference proceedings on Design automation conference , DAC '87, pp.173-180, 1987.
DOI : 10.1145/37888.37914

S. M. Menon, Y. Malaiya, A. P. Jayasumana, and R. Rajsuman, Testable design of BiCMOS circuits dor stuck-open fault detection using single patterns, VLSI Test Symp, pp.296-302, 1993.

B. W. Woodhall, B. D. Newman, and S. A. , Empirical results on undetected CMOS stuck-open failures, Int. Test Conf, pp.166-170, 1987.

W. Needham, C. Prunty, and E. Hong-yech, High volume processor, test escape, an analysis of defect our test are missing, Int. Test Conf, pp.25-34, 1998.

M. Renovell and G. Cambon, Electrical analysis and modeling of floating-gate fault, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.11, issue.11, pp.1450-1458, 1992.
DOI : 10.1109/43.177407

V. H. Champac, A. Rubio, and J. Figueras, Electrical model of the floating gate defect in CMOS ICs: implications on I/sub DDQ/ testing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.13, issue.3, pp.359-369, 1994.
DOI : 10.1109/43.265677

C. Hawkins, J. Soden, A. Righter, and J. Ferguson, Defect classes-an overdue paradigm for CMOS IC testing, Proceedings., International Test Conference, pp.413-424, 1994.
DOI : 10.1109/TEST.1994.527983

W. M. Maly, P. K. Nag, and P. Nigh, Testing oriented analysis of CMOS ICs with opens, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers, pp.344-347, 1988.
DOI : 10.1109/ICCAD.1988.122525

K. C. Mei, Bridging and Stuck-At Faults, IEEE Transactions on Computers, vol.23, issue.7, pp.720-727, 1974.
DOI : 10.1109/T-C.1974.224020

C. Lo and P. C. Chan, An efficient structural approach to board interconnect diagnosis, International Journal of Electronics, vol.87, issue.10, pp.10-1239, 2000.
DOI : 10.1109/EDTC.1997.582407

S. Y. Huang, A symbolic inject-and-evaluate paradigm for byzantine fault diagnosis, Journal of Electronic Testing : Theory and Applications, vol.19, issue.2, pp.923-8174, 2003.

J. Acken and S. D. Millmans, Accurate modeling and simulation of bridging faults, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference, pp.17-21, 1991.
DOI : 10.1109/CICC.1991.164111

J. M. Acken and S. D. Millmans, Fault Model Evolution For Diagnosis: Accuracy vs Precision, Proceedings of the IEEE Custom Integrated Circuits Conference, pp.13-17, 1992.
DOI : 10.1109/CICC.1992.591298

P. Maxwell and R. Aitken, Biased voting: A method for simulating CMOS bridging faults in the presence of variable gate logic thresholds, Proceedings of IEEE International Test Conference, (ITC), pp.63-72, 1993.
DOI : 10.1109/TEST.1993.470717

P. Huc, Test en tension des courts-circuits en technologie cmos, 1995.

R. Rodriguez-montanes, E. M. Bruls, and J. Figueras, Bridging defects resistance measurements in a CMOS process, Proceedings International Test Conference 1992, pp.892-899, 1992.
DOI : 10.1109/TEST.1992.527915

T. M. Storey and W. Maly, CMOS bridging fault detection, Int. Test Conf, pp.842-851, 1990.
DOI : 10.1109/test.1990.114102

M. Renovell, P. Huc, and Y. Bertrand, CMOS bridging fault modeling, Proceedings of IEEE VLSI Test Symposium, pp.392-397, 1994.
DOI : 10.1109/VTEST.1994.292283

P. Girard, Diagnostique de pannes temporelles dans les circuits digitaux, 1992.

M. Breuer, The Effects of Races, Delays, and Delay Faults on Test Generation, IEEE Transactions on Computers, vol.23, issue.10, pp.1078-1092, 1974.
DOI : 10.1109/T-C.1974.223808

Z. Barzilai and B. K. Rosen, Comparison of ac self-testing procedures, Int. Test Conf, pp.89-94, 1983.

J. L. Carter, V. Iyengar, and B. K. Rosen, Efficient test coverage determination for delay faults, Int. Test Conf, pp.418-427, 1987.

E. P. Hsieh, R. Rasmussen, L. Vidunas, and W. Davis, Delay test generation, Design Automation Conference, pp.486-492, 1977.

K. Kishida, F. Shirotori, Y. Ikemoto, S. Ishiyama, and T. Hayashi, A Delay Test System for High Speed Logic LSI's, 23rd ACM/IEEE Design Automation Conference, pp.786-790, 1986.
DOI : 10.1109/DAC.1986.1586178

A. K. Pramanick and S. M. Reddy, On the detection of delay faults, International Test Conference 1988 Proceeding@m_New Frontiers in Testing, pp.845-856, 1988.
DOI : 10.1109/TEST.1988.207872

J. A. Waicukausky, E. Lindbloom, B. Rosen, and V. Iyengar, Transition fault simulation by parallel pattern single fault propagation, Int. Test Conf, pp.542-549, 1986.

Y. Levendel and P. R. Menon, Transition faults in combinational circuit : Input transition test generation and fault simulation, Fault Tolerant Comp. Symp, pp.278-283, 1986.

M. H. Schulz and F. Brglez, Accelerated transition fault simulation, Design Autom. Conf, pp.237-243, 1987.

M. Geilert, J. Alt, and M. Zimmermann, On the efficiency of the transition fault model for delay faults, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, pp.272-275, 1990.
DOI : 10.1109/ICCAD.1990.129900

N. N. Tendolkar, Analysis of timing failures due to random ac defects in VLSI modules, Design Autom. Conf, pp.709-714, 1985.

G. L. Smith, Model for delay faults based upon paths, pp.342-349, 1985.

F. Brglez and H. Fujiwara, A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN, pp.663-698, 1985.

J. D. Lesser and J. J. Shedletsky, An Experimental Delay Test Generator for LSI Logic, IEEE Transactions on Computers, vol.29, issue.3, 1980.
DOI : 10.1109/TC.1980.1675555

S. M. Reddy, C. J. Lin, and S. Patil, An automatic test pattern generator for the detection of path delay faults, Int. Conf. on Computer-Aided Design, pp.284-287, 1987.

R. B. Hitchcock, G. L. Smith, and D. D. Cheng, Timing Analysis of Computer Hardware, IBM Journal of Research and Development, vol.26, issue.1, pp.100-105, 1982.
DOI : 10.1147/rd.261.0100

R. B. Hitchcock, Timing verification and the timing analysis program, 19th Design Autom. Conf, pp.594-604, 1982.

M. H. Hussein, Path-delay computation algorithms for VLSI systems, pp.86-91, 1985.

W. N. Li, S. M. Reddy, and S. K. Sahni, On path selection in combinational logic circuits, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988., pp.56-63, 1989.
DOI : 10.1109/DAC.1988.14749

Z. Li, X. Lu, W. Qiu, W. Shi, and D. M. Walker, A circuit level fault model for resistive opens and bridges, VLSI Test Symp, pp.379-384, 2003.

A. Czutro, N. Houarche, P. Engelke, I. Polian, M. Comte et al., A Simulator of Small-Delay Faults Caused by Resistive-Open Defects, 2008 13th European Test Symposium, pp.113-118, 2008.
DOI : 10.1109/ETS.2008.19

URL : https://hal.archives-ouvertes.fr/lirmm-00285886

R. Rodrìguez-montañès, J. P. De-gyvez, and P. Volf, Resistance characterization for weak open defects, IEEE Design and Test, pp.18-26, 2002.

W. Qiu and D. M. Walker, An efficient algorithm for finding the K longest testable paths through each gate in a combinational circuit, Int. Test Conf, pp.592-601, 2003.

M. Bibliographie-personnelle-conférences-avec-actes-et-comité-de-lecture, M. Renovell, N. Comte, I. Houarche, P. Polian et al., A Model for Resistive Open Recursivity in CMOS Random Logic, 2008.

A. Czutro, N. Houarche, P. Engelke, I. Polian, M. Comte et al., A simulator of small-delay faults caused by resistiveopen defects, European Test Symposium, 2008.
URL : https://hal.archives-ouvertes.fr/lirmm-00285886

N. Houarche, A. Czutro, M. Comte, P. Engelke, I. Polian et al., Deriving an Electrical Model for Delay Faults Caused by Crosstalk Aggravated Resistive Short Defects Latin American Test WorkshopAn Electrical Model for the Fault Simulation of Small Delay Faults Caused by Crosstalk Aggravated Resistive Short DefectsDynamic Effect of a Resistive Bridge, Colloques sans actes ou avec actes à diffusion restreinte N. Houarche, M. Comte, M. Renovell, 2007.

N. Houarche, M. Comte, and M. , A Resistive open ATPG technique considering Recursive Dependency, GdR SOC-SIP, 2007.

N. Houarche, M. Comte, and M. , Modelling for weak-bridge defect in presence of crosstalk, 2008.

N. Houarche, M. Comte, and M. , Modelling for weak-bridge