A. Ce, Advanced Intermediate Representation with Extensibility/Common Environment, Internal Intermediate Representation (IIR) Specication Version 4.6 Including Digital VHDL and VHDL AMS support, 1999.

Y. Abarbanel, I. Beer, L. Gluhovsky, S. Keidar, and Y. Wolfsthal, FoCs ??? Automatic Generation of Simulation Checkers from Formal Specifications, CAV'2000: Proceedings of 12th International Conference on Computer Aided Verication, p.538542, 2000.
DOI : 10.1007/10722167_40

V. Agrawal and K. Cheng, Finite state machine synthesis with embedded test function, Journal of Electronic Testing, vol.22, issue.3, p.221228, 1990.
DOI : 10.1007/BF00938685

A. Aho, R. Sethi, and J. Ullman, Compilers: Principles, Techniques and Tools, Code Optimization, 1986.

S. Zaher, K. A. Andraus, and . Sakallah, Automatic Abstraction and Verication of Verilog Models, DAC'04: Proceedings of the 41st annual conference on design automation, p.218223, 2004.

S. Ben-david, C. Einser, D. Geist, and Y. Wolfsthal, Model Checking at IBM. In Formal Verication and Testing Technologies in System Design, 2003.
DOI : 10.1023/a:1022905120346

G. Berry, Hardware and Software Synthesis, Optimization, and Verication from Esterel Programs, TACAS '97: Proceedings of the 3rd International Workshop on Tools and Algorithms for Construction and Analysis of Systems, p.13, 1997.
DOI : 10.1007/bfb0035376

A. Biere, A. Cimatti, E. M. Clarke, and Y. Zhu, Tools and Algorithms for the Construction and Analysis of Systems, chapter Symbolic Model Checking without BDDs, Lecture Notes in Computer Science, 1999.

C. Bolchini and L. Baresi, Software methodologies in VHDL code analysis, Journal of Systems Architecture, vol.44, issue.1, p.321, 1997.
DOI : 10.1016/S1383-7621(97)00024-6

N. Bombieri, F. Fummi, and G. Pravadelli, A methodology for abstracting RTL designs into TL descriptions, Fourth ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2006. MEMOCODE '06. Proceedings., p.103112, 2006.
DOI : 10.1109/MEMCOD.2006.1695911

D. Borrione, J. Dushina, and L. Pierre, Formalization of Finite State Machines with Data Path for the Verication of High-level Synthesis, Proceedings of 11th Brazilian Symposium on Integrated Circuit Design, p.99102, 1998.

D. Borrione, L. Miao, K. Morin-allory, P. Ostier, and L. Fesquet, On-line Assertionbased Verication with Proven Correct Monitors, ITI 3rd International Conference on Information and Communications Technology, Enabling Technologies for the New Knowledge Society, p.125143, 2005.

M. Boulé and Z. Zilic, Automata-based assertion-checker synthesis of PSL properties, ACM Transactions on Design Automation of Electronic Systems, vol.13, issue.1, p.121, 2008.
DOI : 10.1145/1297666.1297670

K. S. Brace, R. L. Rudell, and R. E. Bryant, Ecient Implementation of a BDD Package, DAC '90: Proceedings of the 27th ACM/IEEE conference on Design automation, p.4045, 1990.

R. K. Brayton, G. Hachtel, A. Sangiovanni-vincentelli, F. Somenzi, A. Aziz et al., VIS, CAV'96: Proceedings of the 8th International Conference on Computer Aided Verication, p.428432, 1996.
DOI : 10.1007/BFb0031812

R. E. Bryant, Graph-Based Algorithms for Boolean Function Manipulation, IEEE Transactions on Computers, vol.35, issue.8, p.677691, 1986.
DOI : 10.1109/TC.1986.1676819

R. E. Bryant, S. Lahiri, and S. Seshia, Modeling and Verifying Systems Using a Logic of Counter Arithmetic with Lambda Expressions and Uninterpreted Functions, CAV '02: Proceedings of the 14th International Conference on Computer Aided Verication, p.7892, 2002.
DOI : 10.1007/3-540-45657-0_7

G. Canfora, A. Cimitile, and A. Lucia, Conditioned Program Slicing. Information and Software Technology, Special issues on Program Slicing, pp.11-12595607, 1998.
DOI : 10.1016/s0950-5849(98)00086-x

D. I. Cheng, K. Cheng, D. C. Wang, and M. Marek-sadowska, A new hybrid methodology for power estimation, Proceedings of the 33rd annual conference on Design automation conference , DAC '96, p.439444, 1996.
DOI : 10.1145/240518.240602

E. M. Clarke, M. C. Browne, E. A. Emerson, and A. P. Sistla, Using Temporal Logic for Automatic Verication of Finite State Systems, p.326, 1985.

E. M. Clarke, E. A. Emerson, and A. P. Sistla, Automatic Verication of Finite-State Concurrent Systems using Temporal Logic Specications, ACM Transactions on Programming Languages and Systems, vol.8, issue.2, p.244263, 1986.

E. M. Clarke, M. Fujita, S. Rajan, T. Reps, S. Shankar et al., Program Slicing for Design Automation: An Automatic Technique for Speeding-up Hardware Design, Simulation, Testing, and Verication, Conference on Correct Hardware Design and Verication Methods, p.298312, 1999.

E. M. Clarke, M. Fujita, S. Rajan, T. Reps, S. Shankar et al., Program Slicing of Hardware Description Languages, CHARME'99: Proceedings of Conference on Correct Hardware Design and Verication Methods, p.298312, 1999.
DOI : 10.1007/3-540-48153-2_22

E. M. Clarke, O. Grumberg, and D. Long, Model Checking and Abstraction, ACM Transactions on Programming Languages and Systems, vol.16, issue.5, p.15121542, 1994.

E. M. Clarke, O. Grumberg, M. Talupur, and D. Wang, Making Predicate Abstraction Ecient: Eliminating Redundant Predicates, CAV '03 : Proceedings of 15th International Conference on Computer Aided Verication, p.355367, 2003.

E. M. Clarke, O. Grumburg, and D. Peled, Model Checking, 1999.

J. Clause, W. Li, and A. Orso, Dytan, Proceedings of the 2007 international symposium on Software testing and analysis, ISSTA '07, p.196206, 2007.
DOI : 10.1145/1273463.1273490

F. Corno, M. S. Reorda, G. Squillero, A. Manzone, and A. Pincetti, Automatic Test Bench Generation for Validation of RT-level Descriptions: An Industrial Experience, DATE '00: Proceedings of the Conference on Design, Automation and Test in Europe, p.385389, 2000.

D. Corvino, I. Epicoco, F. Ferrandi, F. Fummi, and D. Sciuto, Automatic VHDL Restructuring for RTL Synthesis Optimization and Testability Improvement, ICCD'98: Proceedings of Internatinional Conference on Computer Design, p.587596, 1998.

S. Coudert, Une abstraction pour la vérication de composants matériels: La signicativité de leurs entrées et sorties, 2008.

P. Cousot and R. Cousot, Abstract Interpretation: A Unied Lattice Model for Static Analysis of Programs by Construction or Approximation of Fixpoints, Conference Record of the Fourth Annual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, p.238252, 1977.

P. Cousot and R. Cousot, Systematic Design of Program Transformation Frameworks by Abstract Interpretation, Conference Record of the Twentyninth Annual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, pp.178-190, 2002.

B. Farwer and M. Varea, Separation of Control and Data Flow in High-Level Petri Nets: Transforming Dual Flow Nets into Object Petri Nets, Fundamenta Informaticae, vol.72, issue.1-3, p.123137, 2006.

A. Fraboulet, T. Risset, and A. Scherrer, Cycle Accurate Simulation Model Generation for SoC Prototyping, SAMOS'04: International Workshop on Systems, Architectures, Modeling, and Simulation, p.453462, 2004.
DOI : 10.1007/978-3-540-27776-7_47

URL : https://hal.archives-ouvertes.fr/hal-00399647

C. Fritz, Constructing Büchi Automata from Linear Temporal Logic using Simulation Relations for Alternating Büchi Automata, Implementation and Application of Automata. 8th International Conference (CIAA), p.3548, 2003.

D. Gajski and L. Ramachandran, Introduction to high-level synthesis, IEEE Design & Test of Computers, vol.11, issue.4, p.4454, 1994.
DOI : 10.1109/54.329454

URL : https://hal.archives-ouvertes.fr/hal-00447325

P. Gastin and D. Oddoux, Fast LTL to Büchi Automata Translation, CAV '01: Proceedings of the 13th International Conference on Computer Aided Verication, p.5365, 2001.
DOI : 10.1007/3-540-44585-4_6

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.126.4074

I. Ghosh, A. Raghunathan, and N. Jha, A design for testability technique for RTL circuits using control/data flow extraction, Proceedings of International Conference on Computer Aided Design, p.329336, 1996.
DOI : 10.1109/ICCAD.1996.569798

G. D. Guglielmo, F. Fummi, C. Marconcini, and G. Pravadelli, EFSM Manipulation to Increase High-Level ATPG Eectiveness, ISQED'06: Proceedings of the 7th International Symposium on Quality Electronic Design, p.5762, 2006.

R. Gupta, P. L. Guernic, S. K. Shukla, and J. Talpin, Formal Methods and Models for System Design: A System Level Perspective, 2004.
DOI : 10.1007/978-1-4020-8052-4

N. Halbwachs, Synchronous Programming of Reactive Systems, 1993.

E. Hansen, A generalized interval arithmetic, Proceedings of the International Symposium on Interval Mathemantics, p.718, 1975.
DOI : 10.1007/3-540-07170-9_2

I. G. Harris, Fault Models and Test Generation for Hardware-Software Covalidation, IEEE Design and Test of Computers, vol.20, issue.4, p.4047, 2003.

S. Horwitz, T. Reps, and D. Binkley, Interprocedural Slicing using Dependence Graphs, ACM Transactions on Programming Langauges and Systems, vol.12, issue.1, p.2661, 1990.

Y. Hoskote, D. Moundanos, and J. Abraham, Automatic Extraction of the Control Flow Machine and Application to Evaluating Coverage of Verication Vectors, ICCD'95: Proceedings of International Conference on Computer Design, p.532537, 1995.

Y. Hsieh and S. Levitan, Control/Data-ow Analysis for VHDL Semantic Extraction, Proceedings of the 4th Asia-Pacic Conference on Hardware Description Languages, p.6875, 1997.

Y. Hsieh and S. Levitan, Model Abstraction for Formal Verication, DATE '98: Proceedings of the conference on Design, automation and test in Europe, p.140147, 1998.
DOI : 10.1109/date.1998.655848

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.141.4934

Y. Hsieh and S. Levitan, Abstraction Techniques for Verication of Multiple Tightly Coupled Counters, Registers and Comparators, IEEE International High-Level Design Validation and Test Workshop, p.133138, 2000.

C. Hymans, Checking Safety Properties of Behavioral VHDL Descriptions by Abstract Interpretation, 9th International Symposium on Static Analysis, p.493498, 2002.
DOI : 10.1007/3-540-45789-5_31

C. Hymans, Correct Hardware Design and Verication Methods, chapter Design and Implementation of an Abstract Interpreter for VHDL, Lecture Notes in Computer Science, p.263269, 2003.

M. A. Iyer, Race a word-level atpg-based constraints solver system for smart random simulation, International Test Conference, 2003. Proceedings. ITC 2003., p.299308, 2003.
DOI : 10.1109/TEST.2003.1270852

Y. Kesten and A. Pnueli, Control and data abstraction: the cornerstones of practical formal verification, International Journal on Software Tools for Technology Transfer, vol.2, issue.4, p.328342, 2000.
DOI : 10.1007/s100090050040

J. Kim and F. Kurdahi, Finite state machine optimization algorithms for pipelined data path controllers, [1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit, p.187, 1991.
DOI : 10.1109/ASIC.1991.242867

J. Kim and F. Kurdahi, Assertion-based On-line Verication and Debug Environment for Complex Hardware Systems, Proceedings of IEEE International Symposium on Circuits and Systems, p.685688, 2004.

T. Kirkland and M. R. Mercer, Algorithms for automatic test-pattern generation, IEEE Design & Test of Computers, vol.5, issue.3, p.4355, 1988.
DOI : 10.1109/54.7962

Y. Kukimoto, BLIF-MV . The VIS Group, 1996.

R. Kurshan, Computer-aided Verication of Coordinating Processes: The Automatatheoretic Approach, 1994.

M. Lajolo, L. Lavagno, M. Rebaudengo, M. S. Reorda, and M. Violante, Automatic test bench generation for simulation-based validation, Proceedings of the eighth international workshop on Hardware/software codesign , CODES '00, p.136140, 2000.
DOI : 10.1145/334012.334038

V. J. Lam and K. A. Olukotun, DCP: an algorithm for datapath/control partitioning of synthesizable RTL models, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273), p.442, 1998.
DOI : 10.1109/ICCD.1998.727088

T. Lengauer and R. Tarjan, A fast algorithm for finding dominators in a flowgraph, ACM Transactions on Programming Languages and Systems, vol.1, issue.1, p.121141, 1979.
DOI : 10.1145/357062.357071

. Bi-xin, X. Li, J. Pang, and . Zhao, A Model for Slicing JAVA Programs Hierarchically, Journal of Computer Sciences Technology, vol.19, issue.6, p.848858, 2004.

. Recto, ANSI C Program Slicing Tool and Text Generator for an Interactive Learning Environment, ICALT '05: Proceedings of the Fifth IEEE International Conference on Advanced Learning Technologies, p.193197, 2005.

C. Liu and J. Jou, An Automatic Controller Extractor for HDL Descriptions at the RTL, IEEE Transactions on Design and Test, p.7277, 2000.

C. Loiseaux, S. Graf, J. Sifakis, A. Bouajjani, and S. Bensalem, Property Preserving Abstractions for the Verication of Concurrent Systems, Formal Methods in System Design, vol.6, issue.1, p.1144, 1995.

C. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser et al., Pin: Building Customized Program Analysis Tools with Dynamic Instrumentation, PLDI '05: Proceedings of the ACM SIGPLAN conference on Programming language design and implementation, p.190200, 2005.

M. Nanda, Slicing Concurrent Java Programs: Issues and Solutions, 2001.

E. Macci, B. Plessier, and F. Somenzi, Formal Verication of Digital Systes by Automatic Reduction of Data Paths, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.16, issue.10, p.11361156, 1997.

E. Macii, B. Plessier, and F. Somenzi, Verication of Systems Containing Counters, ICCAD'92: Proceedings of the IEEE/ACM international conference on Computer-aided Design, p.179182, 1992.

W. Masri, A. Podgurski, and D. Leon, Detecting and Debugging Insecure Information Flows, 15th International Symposium on Software Reliability Engineering, 2004.
DOI : 10.1109/ISSRE.2004.17

K. Mcmillan, Symbolic Model Checking, 1993.

M. Graphics, M odelSim R SE Foreign Language Interface Manual, 2007.

G. D. Micheli, Synthesis and Optimization of Digital Circuits . McGraw-Hill Science, 1994.

K. Morin-allory and D. Borrione, Proven Correct Monitors from PSL Specications, DATE '06: Proceedings of the Conference on Design, Automation and Test in Europe European Design and Automation Association, p.12461251, 2006.

S. Muchnick and N. Jones, Program Flow Analysis: Theory and Application, 1981.

W. Muhammad, S. Coudert, R. Ameur-boulifa, and R. Pacalet, Semantic Preserving RTL Transformation for Control-Data Slicing in Virtual IPs, 2007 IEEE International Multitopic Conference, p.110115, 2007.
DOI : 10.1109/INMIC.2007.4557700

W. Muhammad, S. Coudert, R. Ameur-boulifa, and R. Pacalet, Separating control and data processing in RT level virtual IP components, 2007 Ph.D Research in Microelectronics and Electronics Conference, p.273276, 2007.
DOI : 10.1109/RME.2007.4401865

R. Namballa, N. Ranganathan, and A. Ejnioui, Control and data flow graph extraction for high-level synthesis, IEEE Computer Society Annual Symposium on VLSI, p.187192, 2004.
DOI : 10.1109/ISVLSI.2004.1339528

V. P. Nelson, Digital Circuit Analysis and Design, 1995.

M. Nourani, J. Carletta, and C. , A Scheme For Integrated Controller-datapath Fault Testing, DAC'97: Proceedings of the 34th Design Automation Conference, p.546551, 1997.

K. Ottenstein and L. Ottenstein, The Program Dependence Graph in a Software Development Environment, ACM SIGSOFT/SIGPLAN Software Engineering Symposium on Practical Software Development Environments, p.177184, 1984.

V. Paruthi, N. Mansouri, and R. Vemuri, Automatic Data Path Abstraction for Verication of Large Scale Designs, ICCD'98: Proceedings of the International Conference on Computer Design, p.192194, 1998.

S. Pasricha, N. Dutt, and M. Ben-romdhane, Fast Exploration of Bus-based onchip Communication Architectures, CODES+ISSS '04: Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, p.242247, 2004.

S. Ramesh, A. Kulkarni, and V. Kamat, Slicing Tools for Synchronous Reactive Programs, Proceedings of ACM SIGSOFT international symposium on Software testing and analysis, p.217220, 2004.

G. Ritter, Formal Sequential Equivalence Checking of Digital Systems by Symbolic Simulation, 2001.
URL : https://hal.archives-ouvertes.fr/tel-00163429

A. Scarpelli, Standard VHDL analyzer and intermediate representation, Proceedings of the IEEE 1998 National Aerospace and Electronics Conference. NAECON 1998. Celebrating 50 Years (Cat. No.98CH36185), 1998.
DOI : 10.1109/NAECON.1998.710196

P. Schaumont, R. Cmar, S. Vernalde, M. Engels, and I. Bolsens, Hardware Reuse at the Behavioral Level, DAC'99: Proceedings of the 36th ACM/IEEE conference on Design automation, p.784789, 1999.

D. Sciuto, L. Baresi, and C. Bolchini, Software Methodologies for VHDL Code Static Analysis based on Flow Graphs, EURO-DAC '96/EURO-VHDL '96: Proceedings of the conference on European design automation, p.406411, 1996.

L. Shannon and P. Chow, SIMPPL: An Adaptable SoC Framework Using a Programmable Controller IP Interface to Facilitate Design Reuse, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, p.377390, 2007.

S. Swan, SystemC Transaction Level Models and RTL Verication, DAC '06: 43rd ACM/IEEE Design Automation Conference, p.9092, 2006.
DOI : 10.1145/1146909.1146937

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.132.5679

T. Tolstrup, F. Nielson, and H. Nielson, Parallel Computing Technologies, chapter Information Flow Analysis for VHDL, Lecture Notes in Computer Science, p.7998, 2005.

E. Torbey, Control/data Flow Graph Synthesis using Evolutionary Computation and Behavioral Estimation, 1999.

S. Vasudevan, E. A. Emerson, and J. A. Abraham, Improved Verication of Hardware Designs through Antecedent Conditioned Slicing, International Journal on Software Tools for Technology Transfer, 2005.

V. Vedula, J. A. Abraham, J. Bhadra, and R. Tupuri, A Hierarchical Test Generation Approach Using Program Slicing Techniques on Hardware Description Languages, Journal of Electronic Testing: Theory and Applications, vol.19, issue.2, p.149160, 2003.

G. Venkatesh, The Semantic Approach to Program Slicing, PLDI '91: Proceedings of the ACM SIGPLAN conference on Programming language design and implementation, p.107119, 1991.

L. Wang, C. Wu, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, 2006.

M. Weiser, Program Slicing, ICSE'81: Proceedings of the 5th International Conference on Software engineering, p.439449, 1981.
DOI : 10.1109/TSE.1984.5010248

P. Wilsey, D. Martin, K. Subramani, . Savant, and . Tyvis, WARPED: Components for the Analysis and Simulation of VHDL, IVC/VIUF'98: Proceedings of International Verilog HDL Conference and VHDL International Users Forum, 1998.

P. Wolper, Constructing Automata from Temporal Logic Formulas: A Tutorial???, In Summer School on Trends in Computer Science LNCS, vol.2090, p.261277, 2002.
DOI : 10.1007/3-540-44667-2_7

J. Zhu, MetaRTL: raising the abstraction level of RTL design, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, p.7176, 2001.
DOI : 10.1109/DATE.2001.915003