-. Rios-arambula, A. Buhrig, and M. Renaudin, Asservissement de vitesse pour minimiser la puissance consommée par un processeur, FTFC conference, pp.18-20, 2005.

-. Rios-arambula, A. Buhrig, and M. Renaudin, Power Consumption Reduction Using Dynamic Control of Micro Processor Performance, Aurélien Buhrig et Marc Renaudin, 2005.
DOI : 10.1007/11556930_2

B. Rios-arambula, Y. Folco, M. Monnet, and . Renaudin, Analyse du profil de consommation des circuits asynchrones QDI, FTFC conference, 21-23 Mai, 2007.

-. Rios-arambula, A. Buhrig, G. Sicard, and M. Renaudin, On the use of Feedback Systems to Dynamically Control the Supply Voltage of Low-Power Circuits, Journal of Low Power Electronics, vol.2, issue.1, pp.45-55, 2006.
DOI : 10.1166/jolpe.2006.006

P. Bibliographie-bibliographie-bibliographie-bibliographie-abrial, M. Senn, P. Renaudin, and . Vivet, A new contactless smart card ic using on-chip antenna and asynchrounous microcontroller, Journal of Solid-State Circuits, vol.36, pp.1101-1107, 2001.

W. C. Athas, L. J. Svensson, J. G. Koller, N. Thartzanis, and E. Chou, Low-power digital systems based on adiabatic-switching principles, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, issue.4, pp.398-407, 1994.
DOI : 10.1109/92.335009

A. L. Benini, M. Bogliolo, G. Favalli, and . Micheli, Regression models for behavioral power estimation, Proc. Int. Wkshp. Power & Timing Modeling, Optimization, and Simulation (PATMOS), 1996.

L. Benini and G. D. Micheli, Dynamic Power Management: Design Techniques and CADTools, 1997.
DOI : 10.1007/978-1-4615-5455-4

S. L. Bisdounis, O. Nikolaidis, C. E. Koufopavlou, and . Goutis, Modeling the CMOS short-circuit power dissipation, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96, 1996.
DOI : 10.1109/ISCAS.1996.542003

L. A. Bogliolo and . Benini, Robust RTL power macromodels, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.6, issue.4, pp.578-581, 1998.
DOI : 10.1109/92.736131

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.584.6145

L. A. Bogliolo, G. Benini, and . Micheli, Characterization-free behavioral power modeling, Proceedings Design, Automation and Test in Europe, pp.767-773, 1998.
DOI : 10.1109/DATE.1998.655945

URL : http://chronos.stanford.edu/users/nanni/publications/archive/./1998/DATEconf98pg767.pdf

R. Burch, F. N. Najm, P. Yang, and T. Trick, A Monte Carlo approach for power estimation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.1, issue.1, pp.63-71, 1993.
DOI : 10.1109/92.219908

T. Burd and R. Brodersen, Energy efficient CMOS microprocessor design, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences, pp.288-297, 1995.
DOI : 10.1109/HICSS.1995.375385

URL : http://bob.eecs.berkeley.edu/~burd/software/maker2html/ex5/hicss95.ps.gz

. M. Buy-01-]-k, F. N. Buyuksahin, and . Najm, High-level power estimation with interconnect effects, Proc

. Int and . Symp, Low Power Electronics & Design, pp.197-202, 2001.

. A. Chandrakasan, Low-power CMOS digital design, IEEE Journal of Solid-State Circuits, vol.27, issue.4, pp.473-484, 1992.
DOI : 10.1109/4.126534

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.136.1616

M. A. Chandrakasan, J. Potkonjak, R. Rabaey, and . Brodersen, An Approach For Power Minimization Using Transformations, Workshop on VLSI Signal Processing, pp.500-503, 1992.
DOI : 10.1109/VLSISP.1992.639171

M. A. Chandrakasan, J. Potkonjak, R. W. Rabaey, and . Brodersen, HYPER-LP: A System for Power Minimization Using Architectural Transformation, Proceedings of the IEEE International Conference on Computer Aided Design, pp.300-303, 1992.

. Cha-95a-]-j-m, M. Chang, and . Pedram, Low power register allocation and binding, Proceedings of the 32nd Design Automation Conference, pp.29-35, 1995.

. Cha-95b-]-j-m, M. Chang, and . Pedram, Power efficient module allocation and binding, 1995.

C. and C. Tsui, Towards the capability of providing power-area-delay tradeoff at the register transfer level, Proc. Int. Symp. Low Power Electronics & Design, pp.24-29, 1998.

K. Chou and . Roy, Statistical estimation of sequential circuit activity, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), pp.34-37, 1995.
DOI : 10.1109/ICCAD.1995.479882

M. A. Cirit, Estimating dynamic power consumption of CMOS cucuits, Con5 on Computer-Aided Design, pp.534-537, 1987.

. F. Cme-91-]-r, S. I. Cmelik, D. R. Kong, E. J. Ditzel, and . Kelly, An Analysis of MIPS and SPARC instruction set utilization on the SPEC benchmarks, ASPLOS-IV Proceedings, SIGARCH Computer Architecture News 19, pp.290-302, 1991.

]. B. Davari, R. H. Dennard, and G. G. Shahidi, CMOS scaling for high performance and low power, Proceedings of IEEE, vol.83, issue.4, pp.408-425, 1995.

L. Dinh-duc, M. Fesquet, and . Renaudin, Synthesis of QDI Asynchronous Circuits from DTL-style Petri Nets, 11th IEEE/ACM International Workshop on Logic and Synthesis, 2002.

. J. Ebergen, A formal approach to designing delay-insensitive circuits, Distributed Computing, vol.1, issue.6, pp.107-119, 1991.
DOI : 10.1007/BF02252954

M. Biegel, Power Reduction Through RTL Clock Gating, Proceedings of the Synopsys User Group, 2000.

. K. Flautner, Automatic Monitoring for Interactive Performance and Power Reduction, 2001.

S. K. Flautner, T. N. Reinhardt, and . Mudge, Automatic performance setting for dynamic voltage scaling, Proceedings of the 7th annual international conference on Mobile computing and networking , MobiCom '01, pp.260-271, 2001.
DOI : 10.1145/381677.381702

S. B. Furber, . Vlsi, . Architecture, S. B. Organisation, P. Furber et al., AMULET1: a micropipelined ARM, Proceedings of COMPCON '94, pp.476-485, 1989.
DOI : 10.1109/CMPCON.1994.282880

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.41.6669

S. B. Furber, J. D. Garside, and S. Temple, Power-saving features in Amulet2e, 1998.

S. B. Furber, D. A. Edwards, and J. D. Garside, AMULET3: a 100 MIPS asynchronous embedded processor, Proceedings 2000 International Conference on Computer Design, 2000.
DOI : 10.1109/ICCD.2000.878304

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.21.9385

H. Gageldonk, D. Baumann, D. Berkel, A. Gloor, G. Peeters et al., An asynchronous low-power 80C51 microcontroller, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.96-107, 1998.
DOI : 10.1109/ASYNC.1998.666497

B. J. George, D. Gossain, S. C. Tyler, M. G. Wloka, and G. K. Yeap, Power analysis and characterization for semi-custom design, Proceedings of the 1994 International Workshop on Low Power Design, pp.215-218, 1994.
DOI : 10.1109/cicc.1994.379725

E. K. Govil, H. Chan, and . Wasserman, Comparing algorithm for dynamic speed-setting of a lowpower CPU, Mobile Computing and Networking, pp.13-25, 1995.

P. D. Grunwald, K. I. Levis, C. B. Farkas, I. Morrey, and M. Neufeld, Policies for dynamic clock scheduling, Proceedings of the 4th Symposium on Operating Systems Design and Implementation (OSDI), pp.73-86, 2000.

]. S. Gupta and F. N. Najm, Analytical models for RTL power estimation of combinational and sequential circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.7, pp.808-814, 2000.
DOI : 10.1109/43.851996

]. S. Hauck, Asynchronous design methodologies: an overview, Proceedings of the IEEE, vol.83, issue.1, pp.69-93, 1995.
DOI : 10.1109/5.362752

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.37.974

R. W. Hamming, Error Detecting and Error Correcting Codes, Bell System Technical Journal, vol.29, issue.2, pp.147-160, 1950.
DOI : 10.1002/j.1538-7305.1950.tb00463.x

URL : http://campus.unibo.it/10913/1/hamming1950.pdf

S. Hauck, Asynchronous design methodologies: an overview, Proceedings of the IEEE, vol.83, issue.1, pp.69-93, 1995.
DOI : 10.1109/5.362752

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.37.974

K. Hedenstierna and . Jeppson, CMOS Circuit Speed and Buffer Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.6, issue.2, pp.270-281, 1987.
DOI : 10.1109/TCAD.1987.1270271

N. Hedenstierna and K. Jeppson, Comments on "A module generator for optimized CMOS buffers, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.12, issue.1, pp.180-181, 1993.
DOI : 10.1109/43.184856

. A. Hoa-78-]-c and . Hoare, Communicating sequential processes, Communications of the ACM, pp.666-677, 1978.

C. X. Huang, B. Zhang, A. Deng, and B. Swirski, The design and implementation of PowerMill, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.105-110, 1995.
DOI : 10.1145/224081.224100

I. Powerpc, http://www.chips.ibm.com/products/powerpc/ [INT 08] Intel XScale

. M. Kan-86-]-s and . Kang, Accurate simulation of power dissipation in VLSI circuits, IEEE Journal of Solid State Circuits, vol.21, issue.5, pp.889-891, 1986.

N. Karaki, T. Nanmoto, H. Ebihara, S. Utsunomiya, S. Inoue et al., A flexible 8b asynchronous microprocessor based on low-temperature poly-silicon TFT technology, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005., pp.272-598, 2005.
DOI : 10.1109/ISSCC.2005.1493974

T. Kobayashi and T. Sakurai, Self-adjusting threshold-voltage scheme (SATS) for low-voltage high-speed operation, Proceedings of IEEE Custom Integrated Circuits Conference, CICC '94, pp.271-274, 1994.
DOI : 10.1109/CICC.1994.379721

K. S. Komori, S. Shima, T. Miyata, H. Okamoto, and . Terada, The data-driven microprocessor, IEEE Micro, vol.9, issue.3, pp.45-59, 1989.
DOI : 10.1109/40.31477

V. P. Kudva and . Akella, A technique for estimating power in asynchronous circuits, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems
DOI : 10.1109/ASYNC.1994.656309

. E. Lan-93-]-p, J. Landman, and . Rabaey, Power estimation for high level synthesis, Proceedings of the European Conference on Design Automation, pp.361-366, 1993.

. Lan-95-]-p, J. M. Landman, and . Rabaey, Architectural power analysis: The dual bit type method, IEEE Trans. VLSI Systems, vol.3, pp.173-187, 1995.

A. C. Lennard and . Newton, An estimation technique to guide low power resynthesis algorithms, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.227-232, 1995.
DOI : 10.1145/224081.224121

. P. Llo-98-]-r, F. Llopis, and . Goossens, The Petrol approach to high-level power estimation, Proc. Int

. Symp, Low Power Electronics & Design, pp.130-132, 1998.

J. R. Lorch and A. J. Smith, Improving dynamic voltage scaling algorithms with PACE, SIGMETRICS/Performance, pp.50-61, 2001.
DOI : 10.1145/384268.378429

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.11.1718

S. A. Martin, T. K. Burns, D. Lee, P. J. Borkovic, and . Hazewindus, The design of an asynchronous microprocessor, Advanced Research in VLSI: Proceedings of the Decennial Caltech Conference on VLSI, pp.351-373, 1989.

S. A. Martin, T. K. Burns, D. Lee, P. J. Borkovic, and . Hazewindus, The first asynchronous microprocessor: the test results, ACM SIGARCH Computer Architecture News, vol.17, issue.4, pp.95-110, 1989.
DOI : 10.1145/71317.71324

A. J. Martin, Programming in VLSI: From Communicating Processes to Delay-Insensitive Circuits, California Institute of Technology, 1989.

(. A. Martin, The Limitations to Delay-Insensitivity in Asynchronous Circuits, sixth mit conference on advanced research in vlsi, 1990.
DOI : 10.1007/978-1-4612-4476-9_35

A. J. Martin, Synthesis of Asynchronous VLSI Circuits. Internal Report. Caltech-CS-TR-93-28. California Institute of Technology, 1993.

]. D. Marculescu, R. Marculescu, and M. Pedram, Information theoretic measures of energy consumption at register transfer level, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.81-86, 1995.
DOI : 10.1145/224081.224096

A. J. Martin, A. Lines, R. Manohar, M. Nystroem, P. Penzes et al., The design of an asynchronous MIPS R3000 microprocessor, Proceedings Seventeenth Conference on Advanced Research in VLSI, 1997.
DOI : 10.1109/ARVLSI.1997.634853

A. Martin, An asynchronous approach to energy-efficient computing and communication, Proceedings of the International Conference on Advances in Infrastructure for Electronic Business, Science, and Education on the Internet, 2000.

A. J. Martin, M. Nystroem, P. Penzes, and C. Wong, An Asynchronous Microprocessor in Gallium Arsenide, 2001.

M. A. Martin, P. Nyström, C. Pénzes, and . Wong, Speed and energy performance of an asynchronous MIPS R3000 microprocessor, 2001.

. T. Martin, Balancing Batteries, Power, and Performance: System Issues in CPU Speed-Setting for Mobile Computing, 2001.

A. J. Martin and M. Nystrom, The Lutonium: a sub-nanojoule asynchronous 8051 microcontroller, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings., pp.14-23, 2003.
DOI : 10.1109/ASYNC.2003.1199162

]. J. Mei-00, J. A. Meindl, and . Davis, The fundamental limit on binary switching energy for terascale integration (TSI), IEEE J. Solid-State Circuits, vol.35, pp.1515-1516, 2000.

M. Miyazaki, J. Kao, and A. Chandrakasan, A 175mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315), pp.58-59, 2002.
DOI : 10.1109/ISSCC.2002.992099

F. Møller, Algorithm and architecture of a 1 V low power hearing instrument DSP, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477), p.711, 1999.
DOI : 10.1109/LPE.1999.799401

J. Monteiro, J. Rinderknecht, S. Devadas, and A. Ghosh, Optimization of combinational and sequential logic circuits for low power using precomputation, Proceedings Sixteenth Conference on Advanced Research in VLSI, pp.430-444, 1995.
DOI : 10.1109/ARVLSI.1995.515637

G. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, pp.114-117, 1965.
DOI : 10.1109/JPROC.1998.658762

R. E. Muller, Sequential circuits, Switching theory, vol.10, issue.2, 1965.

K. D. Muller-glaser, K. Kirsch, and K. Neusinger, Estimating essential design characteristics to support project planning for ASIC design management, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, pp.148-151, 1991.
DOI : 10.1109/ICCAD.1991.185216

A. P. Pénzes and . Martin, Energy-delay efficiency of VLSI computations, Proceedings of the 12th ACM Great Lakes Symposium on VLSI , GLSVLSI '02, 2002.
DOI : 10.1145/505306.505330

. N. Naj-95-]-f, S. Najm, I. Goel, and . Hajj, Power estimation in sequential circuits, Proceedings of the 32nd Design Automation Conference, pp.635-640, 1995.

. F. Najm, Transition density, a stochastic measure of activity in digital circuits, Proceedings of the 28th conference on ACM/IEEE design automation conference , DAC '91, p.9, 1991.
DOI : 10.1145/127601.127744

M. Nemani and F. Najm, High-level area and power estimation for VLSI circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, issue.6, pp.697-713, 1999.
DOI : 10.1109/43.766722

M. Pedram and B. T. Preas, Interconnection length estimation for optimized standard cell layouts, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, pp.390-393, 1989.
DOI : 10.1109/ICCAD.1989.76976

URL : http://atrak.usc.edu/~massoud/Papers/lengthEst.pdf

M. Pedram and N. Bhat, Layout driven technology mapping, Proceedings of the 28th conference on ACM/IEEE design automation conference , DAC '91, pp.99-105, 1991.
DOI : 10.1145/127601.127635

T. Pering, T. Burd, and R. Brodersen, The simulation and evaluation of dynamic voltage scaling algorithms, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, pp.76-81, 1998.
DOI : 10.1145/280756.280790

S. R. Powell and P. M. Chau, Estimating power dissipation of VLSI signal processing chips: The PFA technique, Proc. VLSI Signal Processing IV, pp.250-259, 1990.

S. R. Powell and P. M. Chau, A model for estimating power dissipation in a class of DSP VLSI chips, IEEE Transactions on Circuits and Systems, vol.38, issue.6, pp.646-650, 1995.
DOI : 10.1109/31.81860

J. Rabaey and M. Pedram, Low Power Design Methodologies, 1996.
DOI : 10.1007/978-1-4615-2307-9

S. Rajgopal and G. Mehta, Experiences with simulation-based schematic level current estimation, Proceedings of the 1994 International Workshop on Low Power Design, pp.9-14, 1994.

S. Raje and M. Sarrafzadeh, Variable voltage scheduling, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, 1995.
DOI : 10.1145/224081.224084

R. P. Weicker, Dhrystone: a synthetic systems programming benchmark, Communications of the ACM, vol.27, issue.10, pp.1013-1030, 1984.
DOI : 10.1145/358274.358283

M. Renaudin, P. Vivet, and F. Robin, ASPRO-216: a standard-cell Q.D.I. 16-bit RISC asynchronous microprocessor, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.22-31, 1998.
DOI : 10.1109/ASYNC.1998.666491

URL : https://hal.archives-ouvertes.fr/hal-00011217

J. B. Rigaud, Spécification de bibliothèques pour la synthèse de circuits asynchrones, research repport, 2002.

S. S. Sapatnekar and W. Chuang, Power vs. delay in gate sizing: conflicting objectives?, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), pp.463-466, 1995.
DOI : 10.1109/ICCAD.1995.480157

O. Sentieys, Réduction de consommation d'énergie en électronique embarquée, Journée scientifique électronique embarquée du 24 avril, 1997.

M. Srivastava, A. Chandrakasan, and R. Brodersen, Predictive system shutdown and other architectural techniques for energy efficient programmable computation, IEEE Transactions on Very Large Scale Integration Systems, pp.42-55, 1996.
DOI : 10.1109/92.486080

E. Ivan and . Sutherland, Micropipelines, Communication of the ACM, vol.32, 1989.

A. Takamura, M. Kuwako, M. Ima, T. Fujii, M. Ozawa et al., TITAC-2: an asynchronous 32-bit microprocessor based on scalable-delay-insensitive model, Proceedings International Conference on Computer Design VLSI in Computers and Processors, pp.288-294, 1997.
DOI : 10.1109/ICCD.1997.628881

A. Tajalli, E. Vittoz, Y. Leblebici, and E. J. Brauer, Ultra-low power subthreshold current-mode logic utilising PMOS load device, Electronics Letters, vol.43, issue.17, pp.911-913, 2007.
DOI : 10.1049/el:20071208

H. Terada, M. Iwata, S. Komori, and S. Miyata, Superpipelined dynamic data driven VLSI processors, Advanced Topics in Dataflow Computing and Multithreading, pp.75-85, 1995.

]. V. Tiwari, S. Malik, and W. Wolfe, Power analysis of embedded software: a first step towards software power minimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, issue.4, pp.437-445, 1994.
DOI : 10.1109/92.335012

V. Tiwari, S. Malik, and P. Ashar, Guarded evaluation, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.221-226, 1995.
DOI : 10.1145/224081.224120

N. S. Turgis, D. Azemard, and . Auvergne, Explicit evaluation of short circuit power dissipation for CMOS logic structures, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.129-134, 1995.
DOI : 10.1145/224081.224104

URL : https://hal.archives-ouvertes.fr/lirmm-00241153

. A. Tyagi, Hercules: A power analyzer of MOS VLSI circuits, Proceedings of the IEEE International Conference on Computer Aided Design, pp.530-533, 1987.

P. Van-oostende, P. Six, J. Vandewalle, and H. De-man, Estimation of typical power of synchronous CMOS circuits using a hierarchy of simulators, IEEE Journal of Solid-State Circuits, vol.28, issue.1, pp.26-39, 1993.
DOI : 10.1109/4.179200

H. J. Veendrick, Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits, IEEE Journal of Solid-State Circuits, vol.19, issue.4, pp.468-473, 1984.
DOI : 10.1109/JSSC.1984.1052168

M. Weiser, B. Welch, A. J. Demers, and S. Shenker, Scheduling for Reduced CPU Energy, Operating Systems Design and Implementation, pp.13-23, 1994.
DOI : 10.1007/978-0-585-29603-6_17

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.143.7417

V. Woods, P. Day, S. B. Furber, J. D. Garside, N. C. Paver et al., AMULET1: an asynchronous ARM microprocessor, IEEE Transactions on Computers, vol.46, issue.4, pp.385-398, 1997.
DOI : 10.1109/12.588033

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.673.3262

]. S. Wuytack, F. Catthoor, F. Franssen, L. Nachtergaele, and H. De-man, Global communication and memory optimizing transformations for low power systems, Proceedings of the, 1994.

L. A. Zadeh, Fuzzy sets, Information and Control, vol.8, issue.3, pp.338-353, 1965.
DOI : 10.1016/S0019-9958(65)90241-X

Y. Zhu and F. Mueller, Feedback Dynamic Voltage Scaling DVS-EDF Scheduling: Correctness and PID-Feedback, 2003.
DOI : 10.1145/1065910.1065939

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.66.9005