Asservissement de vitesse pour minimiser la puissance consommée par un processeur, FTFC conference, pp.18-20, 2005. ,
Power Consumption Reduction Using Dynamic Control of Micro Processor Performance, Aurélien Buhrig et Marc Renaudin, 2005. ,
DOI : 10.1007/11556930_2
Analyse du profil de consommation des circuits asynchrones QDI, FTFC conference, 21-23 Mai, 2007. ,
On the use of Feedback Systems to Dynamically Control the Supply Voltage of Low-Power Circuits, Journal of Low Power Electronics, vol.2, issue.1, pp.45-55, 2006. ,
DOI : 10.1166/jolpe.2006.006
A new contactless smart card ic using on-chip antenna and asynchrounous microcontroller, Journal of Solid-State Circuits, vol.36, pp.1101-1107, 2001. ,
Low-power digital systems based on adiabatic-switching principles, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, issue.4, pp.398-407, 1994. ,
DOI : 10.1109/92.335009
Regression models for behavioral power estimation, Proc. Int. Wkshp. Power & Timing Modeling, Optimization, and Simulation (PATMOS), 1996. ,
Dynamic Power Management: Design Techniques and CADTools, 1997. ,
DOI : 10.1007/978-1-4615-5455-4
Modeling the CMOS short-circuit power dissipation, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96, 1996. ,
DOI : 10.1109/ISCAS.1996.542003
Robust RTL power macromodels, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.6, issue.4, pp.578-581, 1998. ,
DOI : 10.1109/92.736131
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.584.6145
Characterization-free behavioral power modeling, Proceedings Design, Automation and Test in Europe, pp.767-773, 1998. ,
DOI : 10.1109/DATE.1998.655945
URL : http://chronos.stanford.edu/users/nanni/publications/archive/./1998/DATEconf98pg767.pdf
A Monte Carlo approach for power estimation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.1, issue.1, pp.63-71, 1993. ,
DOI : 10.1109/92.219908
Energy efficient CMOS microprocessor design, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences, pp.288-297, 1995. ,
DOI : 10.1109/HICSS.1995.375385
URL : http://bob.eecs.berkeley.edu/~burd/software/maker2html/ex5/hicss95.ps.gz
High-level power estimation with interconnect effects, Proc ,
Low Power Electronics & Design, pp.197-202, 2001. ,
Low-power CMOS digital design, IEEE Journal of Solid-State Circuits, vol.27, issue.4, pp.473-484, 1992. ,
DOI : 10.1109/4.126534
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.136.1616
An Approach For Power Minimization Using Transformations, Workshop on VLSI Signal Processing, pp.500-503, 1992. ,
DOI : 10.1109/VLSISP.1992.639171
HYPER-LP: A System for Power Minimization Using Architectural Transformation, Proceedings of the IEEE International Conference on Computer Aided Design, pp.300-303, 1992. ,
Low power register allocation and binding, Proceedings of the 32nd Design Automation Conference, pp.29-35, 1995. ,
Power efficient module allocation and binding, 1995. ,
Towards the capability of providing power-area-delay tradeoff at the register transfer level, Proc. Int. Symp. Low Power Electronics & Design, pp.24-29, 1998. ,
Statistical estimation of sequential circuit activity, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), pp.34-37, 1995. ,
DOI : 10.1109/ICCAD.1995.479882
Estimating dynamic power consumption of CMOS cucuits, Con5 on Computer-Aided Design, pp.534-537, 1987. ,
An Analysis of MIPS and SPARC instruction set utilization on the SPEC benchmarks, ASPLOS-IV Proceedings, SIGARCH Computer Architecture News 19, pp.290-302, 1991. ,
CMOS scaling for high performance and low power, Proceedings of IEEE, vol.83, issue.4, pp.408-425, 1995. ,
Synthesis of QDI Asynchronous Circuits from DTL-style Petri Nets, 11th IEEE/ACM International Workshop on Logic and Synthesis, 2002. ,
A formal approach to designing delay-insensitive circuits, Distributed Computing, vol.1, issue.6, pp.107-119, 1991. ,
DOI : 10.1007/BF02252954
Power Reduction Through RTL Clock Gating, Proceedings of the Synopsys User Group, 2000. ,
Automatic Monitoring for Interactive Performance and Power Reduction, 2001. ,
Automatic performance setting for dynamic voltage scaling, Proceedings of the 7th annual international conference on Mobile computing and networking , MobiCom '01, pp.260-271, 2001. ,
DOI : 10.1145/381677.381702
AMULET1: a micropipelined ARM, Proceedings of COMPCON '94, pp.476-485, 1989. ,
DOI : 10.1109/CMPCON.1994.282880
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.41.6669
Power-saving features in Amulet2e, 1998. ,
AMULET3: a 100 MIPS asynchronous embedded processor, Proceedings 2000 International Conference on Computer Design, 2000. ,
DOI : 10.1109/ICCD.2000.878304
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.21.9385
An asynchronous low-power 80C51 microcontroller, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.96-107, 1998. ,
DOI : 10.1109/ASYNC.1998.666497
Power analysis and characterization for semi-custom design, Proceedings of the 1994 International Workshop on Low Power Design, pp.215-218, 1994. ,
DOI : 10.1109/cicc.1994.379725
Comparing algorithm for dynamic speed-setting of a lowpower CPU, Mobile Computing and Networking, pp.13-25, 1995. ,
Policies for dynamic clock scheduling, Proceedings of the 4th Symposium on Operating Systems Design and Implementation (OSDI), pp.73-86, 2000. ,
Analytical models for RTL power estimation of combinational and sequential circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.7, pp.808-814, 2000. ,
DOI : 10.1109/43.851996
Asynchronous design methodologies: an overview, Proceedings of the IEEE, vol.83, issue.1, pp.69-93, 1995. ,
DOI : 10.1109/5.362752
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.37.974
Error Detecting and Error Correcting Codes, Bell System Technical Journal, vol.29, issue.2, pp.147-160, 1950. ,
DOI : 10.1002/j.1538-7305.1950.tb00463.x
URL : http://campus.unibo.it/10913/1/hamming1950.pdf
Asynchronous design methodologies: an overview, Proceedings of the IEEE, vol.83, issue.1, pp.69-93, 1995. ,
DOI : 10.1109/5.362752
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.37.974
CMOS Circuit Speed and Buffer Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.6, issue.2, pp.270-281, 1987. ,
DOI : 10.1109/TCAD.1987.1270271
Comments on "A module generator for optimized CMOS buffers, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.12, issue.1, pp.180-181, 1993. ,
DOI : 10.1109/43.184856
Communicating sequential processes, Communications of the ACM, pp.666-677, 1978. ,
The design and implementation of PowerMill, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.105-110, 1995. ,
DOI : 10.1145/224081.224100
http://www.chips.ibm.com/products/powerpc/ [INT 08] Intel XScale ,
Accurate simulation of power dissipation in VLSI circuits, IEEE Journal of Solid State Circuits, vol.21, issue.5, pp.889-891, 1986. ,
A flexible 8b asynchronous microprocessor based on low-temperature poly-silicon TFT technology, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005., pp.272-598, 2005. ,
DOI : 10.1109/ISSCC.2005.1493974
Self-adjusting threshold-voltage scheme (SATS) for low-voltage high-speed operation, Proceedings of IEEE Custom Integrated Circuits Conference, CICC '94, pp.271-274, 1994. ,
DOI : 10.1109/CICC.1994.379721
The data-driven microprocessor, IEEE Micro, vol.9, issue.3, pp.45-59, 1989. ,
DOI : 10.1109/40.31477
A technique for estimating power in asynchronous circuits, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems ,
DOI : 10.1109/ASYNC.1994.656309
Power estimation for high level synthesis, Proceedings of the European Conference on Design Automation, pp.361-366, 1993. ,
Architectural power analysis: The dual bit type method, IEEE Trans. VLSI Systems, vol.3, pp.173-187, 1995. ,
An estimation technique to guide low power resynthesis algorithms, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.227-232, 1995. ,
DOI : 10.1145/224081.224121
The Petrol approach to high-level power estimation, Proc. Int ,
Low Power Electronics & Design, pp.130-132, 1998. ,
Improving dynamic voltage scaling algorithms with PACE, SIGMETRICS/Performance, pp.50-61, 2001. ,
DOI : 10.1145/384268.378429
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.11.1718
The design of an asynchronous microprocessor, Advanced Research in VLSI: Proceedings of the Decennial Caltech Conference on VLSI, pp.351-373, 1989. ,
The first asynchronous microprocessor: the test results, ACM SIGARCH Computer Architecture News, vol.17, issue.4, pp.95-110, 1989. ,
DOI : 10.1145/71317.71324
Programming in VLSI: From Communicating Processes to Delay-Insensitive Circuits, California Institute of Technology, 1989. ,
The Limitations to Delay-Insensitivity in Asynchronous Circuits, sixth mit conference on advanced research in vlsi, 1990. ,
DOI : 10.1007/978-1-4612-4476-9_35
Synthesis of Asynchronous VLSI Circuits. Internal Report. Caltech-CS-TR-93-28. California Institute of Technology, 1993. ,
Information theoretic measures of energy consumption at register transfer level, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.81-86, 1995. ,
DOI : 10.1145/224081.224096
The design of an asynchronous MIPS R3000 microprocessor, Proceedings Seventeenth Conference on Advanced Research in VLSI, 1997. ,
DOI : 10.1109/ARVLSI.1997.634853
An asynchronous approach to energy-efficient computing and communication, Proceedings of the International Conference on Advances in Infrastructure for Electronic Business, Science, and Education on the Internet, 2000. ,
An Asynchronous Microprocessor in Gallium Arsenide, 2001. ,
Speed and energy performance of an asynchronous MIPS R3000 microprocessor, 2001. ,
Balancing Batteries, Power, and Performance: System Issues in CPU Speed-Setting for Mobile Computing, 2001. ,
The Lutonium: a sub-nanojoule asynchronous 8051 microcontroller, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings., pp.14-23, 2003. ,
DOI : 10.1109/ASYNC.2003.1199162
The fundamental limit on binary switching energy for terascale integration (TSI), IEEE J. Solid-State Circuits, vol.35, pp.1515-1516, 2000. ,
A 175mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315), pp.58-59, 2002. ,
DOI : 10.1109/ISSCC.2002.992099
Algorithm and architecture of a 1 V low power hearing instrument DSP, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477), p.711, 1999. ,
DOI : 10.1109/LPE.1999.799401
Optimization of combinational and sequential logic circuits for low power using precomputation, Proceedings Sixteenth Conference on Advanced Research in VLSI, pp.430-444, 1995. ,
DOI : 10.1109/ARVLSI.1995.515637
Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, pp.114-117, 1965. ,
DOI : 10.1109/JPROC.1998.658762
Sequential circuits, Switching theory, vol.10, issue.2, 1965. ,
Estimating essential design characteristics to support project planning for ASIC design management, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, pp.148-151, 1991. ,
DOI : 10.1109/ICCAD.1991.185216
Energy-delay efficiency of VLSI computations, Proceedings of the 12th ACM Great Lakes Symposium on VLSI , GLSVLSI '02, 2002. ,
DOI : 10.1145/505306.505330
Power estimation in sequential circuits, Proceedings of the 32nd Design Automation Conference, pp.635-640, 1995. ,
Transition density, a stochastic measure of activity in digital circuits, Proceedings of the 28th conference on ACM/IEEE design automation conference , DAC '91, p.9, 1991. ,
DOI : 10.1145/127601.127744
High-level area and power estimation for VLSI circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, issue.6, pp.697-713, 1999. ,
DOI : 10.1109/43.766722
Interconnection length estimation for optimized standard cell layouts, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, pp.390-393, 1989. ,
DOI : 10.1109/ICCAD.1989.76976
URL : http://atrak.usc.edu/~massoud/Papers/lengthEst.pdf
Layout driven technology mapping, Proceedings of the 28th conference on ACM/IEEE design automation conference , DAC '91, pp.99-105, 1991. ,
DOI : 10.1145/127601.127635
The simulation and evaluation of dynamic voltage scaling algorithms, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, pp.76-81, 1998. ,
DOI : 10.1145/280756.280790
Estimating power dissipation of VLSI signal processing chips: The PFA technique, Proc. VLSI Signal Processing IV, pp.250-259, 1990. ,
A model for estimating power dissipation in a class of DSP VLSI chips, IEEE Transactions on Circuits and Systems, vol.38, issue.6, pp.646-650, 1995. ,
DOI : 10.1109/31.81860
Low Power Design Methodologies, 1996. ,
DOI : 10.1007/978-1-4615-2307-9
Experiences with simulation-based schematic level current estimation, Proceedings of the 1994 International Workshop on Low Power Design, pp.9-14, 1994. ,
Variable voltage scheduling, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, 1995. ,
DOI : 10.1145/224081.224084
Dhrystone: a synthetic systems programming benchmark, Communications of the ACM, vol.27, issue.10, pp.1013-1030, 1984. ,
DOI : 10.1145/358274.358283
ASPRO-216: a standard-cell Q.D.I. 16-bit RISC asynchronous microprocessor, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.22-31, 1998. ,
DOI : 10.1109/ASYNC.1998.666491
URL : https://hal.archives-ouvertes.fr/hal-00011217
Spécification de bibliothèques pour la synthèse de circuits asynchrones, research repport, 2002. ,
Power vs. delay in gate sizing: conflicting objectives?, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), pp.463-466, 1995. ,
DOI : 10.1109/ICCAD.1995.480157
Réduction de consommation d'énergie en électronique embarquée, Journée scientifique électronique embarquée du 24 avril, 1997. ,
Predictive system shutdown and other architectural techniques for energy efficient programmable computation, IEEE Transactions on Very Large Scale Integration Systems, pp.42-55, 1996. ,
DOI : 10.1109/92.486080
Micropipelines, Communication of the ACM, vol.32, 1989. ,
TITAC-2: an asynchronous 32-bit microprocessor based on scalable-delay-insensitive model, Proceedings International Conference on Computer Design VLSI in Computers and Processors, pp.288-294, 1997. ,
DOI : 10.1109/ICCD.1997.628881
Ultra-low power subthreshold current-mode logic utilising PMOS load device, Electronics Letters, vol.43, issue.17, pp.911-913, 2007. ,
DOI : 10.1049/el:20071208
Superpipelined dynamic data driven VLSI processors, Advanced Topics in Dataflow Computing and Multithreading, pp.75-85, 1995. ,
Power analysis of embedded software: a first step towards software power minimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, issue.4, pp.437-445, 1994. ,
DOI : 10.1109/92.335012
Guarded evaluation, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.221-226, 1995. ,
DOI : 10.1145/224081.224120
Explicit evaluation of short circuit power dissipation for CMOS logic structures, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, pp.129-134, 1995. ,
DOI : 10.1145/224081.224104
URL : https://hal.archives-ouvertes.fr/lirmm-00241153
Hercules: A power analyzer of MOS VLSI circuits, Proceedings of the IEEE International Conference on Computer Aided Design, pp.530-533, 1987. ,
Estimation of typical power of synchronous CMOS circuits using a hierarchy of simulators, IEEE Journal of Solid-State Circuits, vol.28, issue.1, pp.26-39, 1993. ,
DOI : 10.1109/4.179200
Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits, IEEE Journal of Solid-State Circuits, vol.19, issue.4, pp.468-473, 1984. ,
DOI : 10.1109/JSSC.1984.1052168
Scheduling for Reduced CPU Energy, Operating Systems Design and Implementation, pp.13-23, 1994. ,
DOI : 10.1007/978-0-585-29603-6_17
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.143.7417
AMULET1: an asynchronous ARM microprocessor, IEEE Transactions on Computers, vol.46, issue.4, pp.385-398, 1997. ,
DOI : 10.1109/12.588033
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.673.3262
Global communication and memory optimizing transformations for low power systems, Proceedings of the, 1994. ,
Fuzzy sets, Information and Control, vol.8, issue.3, pp.338-353, 1965. ,
DOI : 10.1016/S0019-9958(65)90241-X
Feedback Dynamic Voltage Scaling DVS-EDF Scheduling: Correctness and PID-Feedback, 2003. ,
DOI : 10.1145/1065910.1065939
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.66.9005