The impact of intrinsic device fluctuations on CMOS SRAM cell stability, IEEE Journal of Solid-State Circuits, vol.36, issue.4, pp.658-665, 2001. ,
DOI : 10.1109/4.913744
Intrinsic parameter fluctuations in decananometer mosfets introduced by gate line edge roughness, IEEE Transactions on Electron Devices, vol.50, issue.5, pp.1254-1260, 2003. ,
DOI : 10.1109/TED.2003.813457
Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs, IEEE Transactions on Electron Devices, vol.50, issue.9, pp.1837-1852, 2003. ,
DOI : 10.1109/TED.2003.815862
Impact Of Intrinsic Parameter Fluctuations On Deca-nanometer Circuits, And Circuit Modelling Techniques, Proceedings of the International Conference Mixed Design of Integrated Circuits and System, 2006. MIXDES 2006., pp.117-121, 2006. ,
DOI : 10.1109/MIXDES.2006.1706550
Fault-tolerant techniques for nanocomputers, Nanotechnology, vol.13, issue.3, pp.357-362, 2002. ,
DOI : 10.1088/0957-4484/13/3/323
Implementing fault-tolerance via modular redundancy with comparison, IEEE Transactions on Reliability, vol.39, issue.2, pp.217-225, 1990. ,
DOI : 10.1109/24.55885
Radiation-induced soft errors: an architectural Perspective, Proceedings of 11th International Symposium on High-Performance Computer Architecture, 2005. ,
Asymmetries in soft-error rates in a large cluster system, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.336-342, 2005. ,
DOI : 10.1109/TDMR.2005.854527
Reducing DRAM latencies with a highly integrated memory, The Seventh International Symposium on High-Performance Computer Architecture, pp.301-312, 2001. ,
Advanced Package Technologies for High-Performance Systems, Intel Technology Journal, vol.09, issue.04, 2005. ,
DOI : 10.1535/itj.0904.01
Thru-silicon interconnect technology " Twenty-Sixth IEEE/CPMT International Electronics Manufacturing Technology Symposium, pp.122-128, 2000. ,
Why Intel is designing multi-core processors, Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures , SPAA '06, pp.113-113, 2006. ,
DOI : 10.1145/1148109.1148126
Reducing Power in High- Performance Microprocessors, 35th Conference on Design Automation Conference (DAC'98), pp.732-737, 1998. ,
Designing asynchronous circuits from behavioural specifications with internal conflicts, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.106-115, 1994. ,
DOI : 10.1109/ASYNC.1994.656296
An Asynchronous NOC Architecture Providing Low Latency Service and Its Multi-Level Design Framework, 11th IEEE International Symposium on Asynchronous Circuits and Systems, pp.54-63, 2005. ,
DOI : 10.1109/ASYNC.2005.10
Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook, IEEE Design and Test of Computers, vol.24, issue.5, pp.430-441, 2007. ,
Data synchronization issues in GALS SoCs, 10th International Symposium on Asynchronous Circuits and Systems, 2004. Proceedings., pp.170-179, 2004. ,
DOI : 10.1109/ASYNC.2004.1299298
Performance and power analysis of globally asynchronous locally synchronous multiprocessor systems, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, vol.00, p.6, 2006. ,
Metastable Behavior in Digital Systems, IEEE Design & Test of Computers, vol.4, issue.6, pp.4-19, 1987. ,
DOI : 10.1109/MDT.1987.295189
Demystifying Data-Driven and Pausible Clocking Schemes, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07), pp.175-185, 2007. ,
DOI : 10.1109/ASYNC.2007.15
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.64.9326
High Rate Data Synchronization in GALS SoCs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1063-1074, 2006. ,
DOI : 10.1109/TVLSI.2006.884148
Point to point GALS interconnect, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems, pp.69-75, 2002. ,
DOI : 10.1109/ASYNC.2002.1000297
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.219.4040
An 80-Tile 1.28TFLOPS Networkon-Chip in 65nm CMOS, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.98-589, 2007. ,
DOI : 10.1109/isscc.2007.373606
Memory-intensive benchmarks: IRAM vs. cache-based machines, Proceedings 16th International Parallel and Distributed Processing Symposium, 2002. ,
DOI : 10.1109/IPDPS.2002.1015506
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.100.9853
A distributed multiprocessor traffic control system, The IEEE Computer Society's Second International Computer Software and Applications Conference, 1978. COMPSAC '78., pp.259-264, 1978. ,
DOI : 10.1109/CMPSAC.1978.810397
Itanium 2 processor microarchitecture, IEEE Micro, vol.23, issue.2, pp.44-45, 2003. ,
DOI : 10.1109/MM.2003.1196114
Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002. ,
DOI : 10.1109/2.976921
Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), 2001. ,
DOI : 10.1109/DAC.2001.935594
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322
On-chip networks: a scalable, communication-centric embedded system design paradigm, 17th International Conference on VLSI Design. Proceedings., pp.845-851, 2004. ,
DOI : 10.1109/ICVD.2004.1261037
The Y architecture for on-chip interconnect: analysis and methodology, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.4, pp.588-599, 2005. ,
DOI : 10.1109/TCAD.2005.844096
Network Expandability and Cost Analysis of Torus, Hypercube and Tree Multi-Processor Systems, 28th Southeastern Symposium on System Theory (SSST '96), p.426, 1996. ,
Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh, Proceedings of the Design Automation & Test in Europe Conference, p.30, 2006. ,
DOI : 10.1109/DATE.2006.243841
Performance analysis of k-ary n-cube interconnection networks, IEEE Transactions on Computers, vol.39, issue.6, pp.775-785, 1990. ,
DOI : 10.1109/12.53599
A Single-Chip Multiprocessor, Computer, vol.30, issue.9, pp.79-85, 1997. ,
A case for intelligent RAM, IEEE Micro, vol.17, issue.2, pp.34-44, 1997. ,
DOI : 10.1109/40.592312
Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices, Proceedings of the 2005 ACM SIGPLAN conference on Programming language design and implementation, pp.269-279, 2005. ,
On the Evaluation of Dense Chip-Multiprocessor Architectures, 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, pp.21-27, 2006. ,
DOI : 10.1109/ICSAMOS.2006.300804
A functional testing method for microprocessors, IEEE Transactions on Computers, vol.37, issue.10, pp.1288-1293, 1988. ,
DOI : 10.1109/12.5992
A defect- and fault-tolerant architecture for nanocomputers, Nanotechnology, vol.14, issue.2, pp.224-230, 2003. ,
DOI : 10.1088/0957-4484/14/2/324
Robust scan-based logic test in VDSM technologies, Computer, vol.32, issue.11, pp.66-74, 1999. ,
DOI : 10.1109/2.803644
A tutorial on built-in self-test. I. Principles, IEEE Design & Test of Computers, vol.10, issue.1, pp.73-82, 1993. ,
DOI : 10.1109/54.199807
Novel spectral methods for built-in self-test in a system-on-a-chip environment, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001, p.163, 2001. ,
DOI : 10.1109/VTS.2001.923434
Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs, Memory Technology, Design and Testing, pp.60-64, 2004. ,
Software-based self-testing methodology for processor cores, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.20, issue.3, pp.369-380, 2001. ,
DOI : 10.1109/43.913755
Instruction-based self-testing of processor cores, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002), pp.103-112, 2003. ,
DOI : 10.1109/VTS.2002.1011142
Embedded software-based self-test for programmable core-based designs, IEEE Design & Test of Computers, vol.19, issue.4, pp.18-26, 2002. ,
DOI : 10.1109/MDT.2002.1018130
Embedded Processor-Based Self-Test, 2004. ,
DOI : 10.1007/978-1-4020-2801-4
Evaluation of memory built-in self repair techniques for high defect density technologies, 10th IEEE Pacific Rim International Symposium on Dependable Computing, 2004. Proceedings., pp.315-320, 2004. ,
DOI : 10.1109/PRDC.2004.1276581
URL : https://hal.archives-ouvertes.fr/hal-00005749
Characterization of multi-bit soft error events in advanced SRAMs, IEEE International Electron Devices Meeting 2003, 2003. ,
DOI : 10.1109/IEDM.2003.1269335
Spare processor allocation for fault tolerance in torus-based multicomputers, Proceedings of Annual Symposium on Fault Tolerant Computing, pp.282-291, 1996. ,
DOI : 10.1109/FTCS.1996.534613
Automatic reconfiguration and yield of the TESH multicomputer network, IEEE Transactions on Computers, vol.51, issue.8, pp.963-972, 2002. ,
DOI : 10.1109/TC.2002.1024742
A defect- and fault-tolerant architecture for nanocomputers, Nanotechnology, vol.14, issue.2, pp.224-230, 2003. ,
DOI : 10.1088/0957-4484/14/2/324
Almost sure diagnosis of almost every good element, [Proceedings] 1991 International Workshop on Defect and Fault Tolerance on VLSI Systems, pp.295-305, 1994. ,
DOI : 10.1109/DFTVS.1991.199963
Interstitial redundancy: an area efficient fault tolerance scheme for large area VLSI processor arrays, IEEE Transactions on Computers, vol.37, issue.11, pp.1398-1410, 1988. ,
DOI : 10.1109/12.8705
Defect Tolerant VLSI Circuits: Techniques and Yield Analysis, Proceedings of the IEEE, pp.1817-1836, 1998. ,
DOI : 10.1109/5.705525
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.352.5360
A survey of wormhole routing techniques in direct networks, Computer, vol.26, issue.2, pp.62-76, 1993. ,
DOI : 10.1109/2.191995
Reverse path forwarding of broadcast packets, Communications of the ACM, vol.21, issue.12, pp.1040-1048, 1978. ,
DOI : 10.1145/359657.359665
Fast broadcasting and gossiping in radio networks, 41st Annual Symposium on Foundations of Computer Science, p.575, 2000. ,
DOI : 10.1016/s0196-6774(02)00004-4
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.20.9292
A Generic Broadcast Protocol in Ad Hoc Networks Based on Self-Pruning, International Parallel and Distributed Processing Symposium (IPDPS'03), p.29, 2003. ,
Reliable optimised flooding in ad hoc networks, Proceedings of the IEEE 6th Circuits and Systems Symposium on Emerging Technologies: Frontiers of Mobile and Wireless Communication (IEEE Cat. No.04EX710), pp.521-524, 2004. ,
DOI : 10.1109/CASSET.2004.1321940
Fault Tolerant Source Routing for Network-on-chip, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), pp.12-20, 2007. ,
DOI : 10.1109/DFT.2007.14
Reuse-based test access and integrated test scheduling for network-on-chip, Proceedings of the Design Automation & Test in Europe Conference, p.6, 2006. ,
DOI : 10.1109/DATE.2006.244143
Parallelization of Bayesian network based SNPs pattern analysis and performance characterization on SMP/HT, Proceeding of Tenth International Conference on Parallel and Distributed Systems, pp.315-322, 2004. ,
Radiation hardened high performance CMOS VLSI circuit designs, IEE Proceedings G Circuits, Devices and Systems, vol.139, issue.3, pp.287-294, 1992. ,
DOI : 10.1049/ip-g-2.1992.0048
Reliability Analysis of N-Modular Redundancy Systems with Intermittent and Permanent Faults, IEEE Transactions on Computers, vol.28, issue.7, pp.514-520, 1979. ,
DOI : 10.1109/TC.1979.1675397
Bounds for Certain Multiprocessing Anomalies, Bell System Technical Journal, vol.45, issue.9, pp.1563-1581, 1966. ,
DOI : 10.1002/j.1538-7305.1966.tb01709.x
Static scheduling algorithms for allocating directed task graphs to multiprocessors, ACM Computing Surveys, vol.31, issue.4, pp.406-471, 1999. ,
DOI : 10.1145/344588.344618
Static multiprocessor scheduling of periodic real-time tasks with precedence constraints and communication costs, Proceedings of the Twenty-Eighth Hawaii International Conference on System Sciences, pp.143-152, 1995. ,
DOI : 10.1109/HICSS.1995.375468
An efficient dynamic scheduling algorithm for multiprocessor real-time systems, IEEE Transactions on Parallel and Distributed Systems, vol.9, issue.3, pp.312-319, 1998. ,
DOI : 10.1109/71.674322
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.18.3939
A study of dynamic scheduling techniques for multiscalar processors, Proceedings of 3rd International Conference on High Performance Computing (HiPC), p.413, 1996. ,
DOI : 10.1109/HIPC.1996.565856
The directory-based cache coherence protocol for the DASH multiprocessor, Proceedings of 17th Annual International Symposium on Computer Architecture, pp.148-159, 1990. ,
Proximity-aware directory-based coherence for multi-core processor architectures, Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures , SPAA '07, pp.126-134, 2007. ,
DOI : 10.1145/1248377.1248398
Radiation-induced soft errors in advanced semiconductor technologies, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.305-316, 2005. ,
DOI : 10.1109/TDMR.2005.853449
Soft Error Rates in 65nm SRAMs--Analysis of new Phenomena, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), pp.203-204, 2007. ,
DOI : 10.1109/IOLTS.2007.60
Fingerprinting: bounding soft-error-detection latency and bandwidth, IEEE Micro, vol.24, issue.6, pp.22-29, 2004. ,
DOI : 10.1109/MM.2004.72
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.6412
Utilizing Dynamically Coupled Cores to Form a Resilient Chip Multiprocessor, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07), pp.317-326, 2007. ,
DOI : 10.1109/DSN.2007.100
Gossip-based ad hoc routing, Proceedings.Twenty-First Annual Joint Conference of the IEEE Computer and Communications Societies, pp.23-27, 2002. ,
DOI : 10.1109/INFCOM.2002.1019424
URL : http://arxiv.org/abs/cs/0209011
Some Computer Organizations and Their Effectiveness, IEEE Transactions on Computers, vol.21, issue.9, p.948, 1972. ,
DOI : 10.1109/TC.1972.5009071
Computer Organization and Architecture: Designing for Performance, 1999. ,