@. D. Alexandrescu, L. Anghel, and M. , Nicolaidis, « New Methods for Evaluating the Impact of Single Event Transients in VDSM ICs, Proceedings of 17 th , Defect and Fault Tolerance for VLSI Systems, 2002.

@. D. Alexandrescu, L. Anghel, and M. Nicolaidis, Simulating Single Event Transients in VDSM ICs for Ground Level Radiation, Journal of Electronic Testing, vol.20, issue.4, 2002.
DOI : 10.1023/B:JETT.0000039608.48856.33

URL : https://hal.archives-ouvertes.fr/hal-00013725

@. L. Anghel, D. Alexandrescu, and M. , Nicolaidis «Evaluation of Soft Error Tolerance Technique Based on Time and/or Space Redundancy, Proceedings of XIII Symposium on Integrated Circuits and Systems Design, 2000.

@. C. Lazzari, L. Anghel, and R. Reis, Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis: A Case Study, 12th IEEE International On-Line Testing Symposium (IOLTS'06), 2007.
DOI : 10.1109/IOLTS.2006.48

URL : https://hal.archives-ouvertes.fr/hal-00143423

@. D. Alexandrescu, L. Anghel, and M. Nicolaidis, Simulating Single Event Transients in VDSM ICs for Ground Level Radiation, Journal of Electronic Testing and Testable Applications, 2004.
DOI : 10.1023/B:JETT.0000039608.48856.33

URL : https://hal.archives-ouvertes.fr/hal-00013725

W. Dally, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001.
DOI : 10.1109/DAC.2001.935594

. L. Benini, Networks on chips: A new, SoC Computer, vol.36, issue.1, pp.70-78, 2002.

F. Pollack, New Microarchitecture Challenges in the Coming Generations of CMOS Process Technologies " ? . Micro32 conference key note -Intel Corp, 1999.

. S. Borkar, Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation, IEEE Micro, vol.25, issue.6, pp.10-16, 2005.
DOI : 10.1109/MM.2005.110

A. Deutsch, On-chip wiring design challenges for GHz operation, IEEE 8th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.99TH8412), pp.529-555, 2001.
DOI : 10.1109/EPEP.1999.819190

S. Borkar, Parameter variations and impact on circuits and microarchitecture, Proceedings of the 40th conference on Design automation , DAC '03
DOI : 10.1145/775832.775920

S. Borkar, Thousand Core Chips ? A Techology Perspective http://www.itrs.net/LinksHigh performance CMOS variability in the 65 nm regime and beyond, Proceedings, DAC 2007. [10], pp.433-449, 2006.

P. Gelsinger, Giga-Scale Integration for Tera-Ops Performance, Opportunities and New Frontiers, 2004.

T. Austin and D. Blaauw, Making typical silicon matter with Razor, Computer, vol.37, issue.3, 2004.
DOI : 10.1109/MC.2004.1274005

S. Mitra and M. Zhang, Combinational Logic Soft Error Correction, 2006 IEEE International Test Conference, 2006.
DOI : 10.1109/TEST.2006.297681

P. E. Dodd and L. W. Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, IEEE Transactions on Nuclear Science, vol.50, issue.3, pp.583-602, 2003.
DOI : 10.1109/TNS.2003.813129

M. Agarwal, B. Paul, and S. Mitra, Circuit Failure Prediction and Its Application to Transistor Aging, 25th IEEE VLSI Test Symmposium (VTS'07), 2007.
DOI : 10.1109/VTS.2007.22

. J. Tryon, Quadded Logic Redundancy Techniques for Computing Systems, pp.205-228, 1962.

. P. Jensen, Quadded NOR Logic, IEEE Transactions on Reliability, vol.12, issue.3, pp.22-31, 1963.
DOI : 10.1109/TR.1963.5218213

J. Han and D. Jonker, A System Architecture Solution for Unreliable Nanoelectronic Devices, IEEE Transactions on Nanotechnology, vol.1, issue.4, 2002.

. J. Heath, A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology, Science, vol.280, issue.5370, pp.1716-1731, 1998.
DOI : 10.1126/science.280.5370.1716

K. Likharev, Single-electron devices and their applications, Proceedings of the IEEE, vol.87, issue.4, pp.606-638, 1999.
DOI : 10.1109/5.752518

C. P. Heij, P. Hadley, and M. , Single-electron inverter, Applied Physics Letters, vol.78, issue.8, pp.1140-1142, 2001.
DOI : 10.1063/1.1345822

A. Bachtold, Logic Circuits with Carbon Nanotube Transistors, Science, vol.294, issue.5545, pp.1317-1337, 2001.
DOI : 10.1126/science.1065824

Y. Huang, Logic Gates and Computation from Assembled Nanowire Building Blocks, Science, vol.294, issue.5545, pp.1313-1330, 2001.
DOI : 10.1126/science.1066192

C. P. Collier, Electronically Configurable Molecular-Based Logic Gates, Science, vol.285, issue.5426, pp.391-395, 1999.
DOI : 10.1126/science.285.5426.391

C. Collier, A [2]Catenane-Based Solid State Electronically Reconfigurable Switch, Science, vol.289, issue.5482, pp.1172-1177
DOI : 10.1126/science.289.5482.1172

G. Tseng and E. , NANOTECHNOLOGY: Enhanced: Toward Nanocomputers, Science, vol.294, issue.5545, pp.1293-1297, 2001.
DOI : 10.1126/science.1066920

. C. Constantinescu, Trends and challenges in VLSI circuit reliability, IEEE Micro, vol.23, issue.4, pp.14-19, 2003.
DOI : 10.1109/MM.2003.1225959

N. S. Mitra, M. Seifert, Q. Zhang, K. S. Shi, and . Kim, Robust system design with built-in soft-error resilience, Computer, vol.38, issue.2, pp.43-52, 2005.
DOI : 10.1109/MC.2005.70

M. P. Baze and S. P. Buchner, Attenuation of single event induced pulses in CMOS combinational logic, IEEE Transactions on Nuclear Science, vol.44, issue.6, pp.2217-2223, 1997.
DOI : 10.1109/23.659038

. P. Shivakumar, Modeling the effect of technology trends on the soft error rate of combinational logic, Proceedings International Conference on Dependable Systems and Networks, pp.389-398, 2002.
DOI : 10.1109/DSN.2002.1028924

G. Hubert, Review of DASIE Family Code: Contribution to SEU/MBU Understanding " , 11 th IEEE International On-Line Testing Symposium, 2005.

A. G. Hubert, F. Bougerol, N. Miller, L. Buard, T. Anghel et al., Prediction of Transient Induced by Neutron/Proton in CMOS Combinational Logic Cells, 12th IEEE International On-Line Testing Symposium (IOLTS'06), pp.63-74, 2006.
DOI : 10.1109/IOLTS.2006.51

. B. Zhang, FASER -Fast Analysis of Soft Error Susceptibility for Cell-Based Designs, Proceedings of ISQED, 2006.

R. V. Derycke, J. Martel, . Appenzeller, . Ph, and . Avouris, Carbon Nanotube Inter- and Intramolecular Logic Gates, Nano Letters, vol.1, issue.9, pp.453-456, 2001.
DOI : 10.1021/nl015606f

M. Daenen, The Wondrous of carbon nanotubes, 2003.

V. R. Martel and . Derycke, Carbon Nanotube Field Effect Transistors and Logic Circuits, Proc of DAC, 2002.
DOI : 10.1145/513940.513944

Y. Lin, High-Performance Carbon Nanotube Field-Effect Transistor With Tunable Polarities, IEEE Transactions On Nanotechnology, vol.4, issue.5, pp.481-489, 2005.
DOI : 10.1109/TNANO.2005.851427

A. Javey, High Performance n-Type Carbon Nanotube Field-Effect Transistors with Chemically Doped Contacts, Nano Letters, vol.5, issue.2, pp.345-348, 2005.
DOI : 10.1021/nl047931j

. S. Wind, Vertical scaling of carbon nanotube field-effect transistors using top gate electrodes, Applied Physics Letters, vol.80, issue.20, pp.3817-3819, 2002.
DOI : 10.1063/1.1480877

]. A. Raychowdhury, A Circuit-Compatible Model of Ballistic Carbon Nanotube Field-Effect Transistors, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.10, pp.1411-1420, 2004.
DOI : 10.1109/TCAD.2004.835135

J. Guo, S. Datta, and M. Lundstrom, Assessment of silicon MOS and carbon nanotube FET performance limits using a general theory of ballistic transistors, IEDM, pp.711-715, 2002.

A. Bachtold, P. Hadley, T. Nakanishi, and C. Dekker, Logic Circuits with Carbon Nanotube Transistors, Science, vol.294, issue.5545, pp.1317-1319, 2001.
DOI : 10.1126/science.1065824

B. Gojman, H. Hsin, J. Liang, N. Nezhdanova, and J. Saini, Y-Junction carbon nanotube implementation of intramolecular electronic NAND gate, 2004.

J. E. Jenn, M. Arlat, J. Rimen, J. Ohlsson, and . Karlsson, Fault injection into VHDL models: the MEFISTO tool, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing, pp.66-75, 1994.
DOI : 10.1109/FTCS.1994.315656

M. Bellato, P. Bernardi, D. Bortolato, A. Candelori, M. Ceschia et al., Evaluating the effects of SEUs affecting the configuration memory of an SRAM-based FPGA, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.188-193, 2004.
DOI : 10.1109/DATE.2004.1268908

M. M. Sonza-reorda and . Violante, Efficient analysis of single event transients, Journal of Systems Architecture, vol.50, issue.5, pp.239-246, 2004.
DOI : 10.1016/j.sysarc.2003.08.008

K. R. Leveugle and . Hadjiat, Multi-level fault injection experiments based on VHDL descriptions: a case study, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002), pp.107-111, 2002.
DOI : 10.1109/OLT.2002.1030192

URL : https://hal.archives-ouvertes.fr/hal-00015043

R. Leveugle and K. Hadjiat, Early prediction of SEU consequences through VHDL mutant generation, 6th European Conference on Radiation and its Effects on Components and Systems (RADECS), 2001.

. Enseignant, Ecole Nationale Supérieure d'Electronique et Radioélectricité (ENSERG) Chercheur au laboratoire TIMA (Techniques de l'Informatique et de la Microélectronique pour l'Architecture d'ordinateurs UMR C5159

. Polytechnique-de-grenoble and T. Au-laboratoire, Intitulé : Les limites technologiques du silicium et tolérance aux fautes. Mention : Très Honorable avec les félicitations du jury Prix de la meilleure thèse de l'INPG en Microélectronique en, Thèse de Doctorat en Microélectronique, 2000.

. Sujet, Développement et réalisation d'un logiciel dédié à la simulation d'un milieu de vie artificiel

. Sujet, Etude de la fiabilité des MEMS/MOEMS face aux radiations ionisantes 6, 2005.

. Sujet, Prédiction de la sensibilité des circuits auto-contrôlables face aux fautes transitoires en technologie submicronique avancée

S. Benhammadi, Master Pro CSINA, mars ? septembre, 2006.

C. Peng, Master Pro CSINA, mars ? septembre, 2006.

. Sujet, Simulations des fautes transitoires multiples sur une bibliothèque de cellules numérique 10, p.2006

. Sujet, Outil d'injection de fautes et dispersion dans des structures à base de CNTFET 11, Ovidiu Coman, PFE, pp.février -juillet, 2007.

. Sujet, Synthèse matérielle de NOC Participation à plusieurs jurys de thèse en tant que rapporteur ou examinateur Rapporteur de thèse ? thèse soutenue à l'Université Technologique Benares, Inde. Sujet : Conception et modélisation d'un transistor NMOS durci aux radiations ionisantes Examinateur de thèse : les thèses soutenues par les doctorants que j

M. Projet, . Eads, . Airbus, and . St-microelectronics, Responsable TIMA pour le projet PARACHUTE: Parasitic Extraction and Optimization for Efficient Microelectronic System Design and Application Partenaires : IZM (G), 2006.

T. and E. Janv, Projet de recherche EADS Projet de collaboration avec EADS CCR concernant l'étude de la sensibilité des FPGA Atmel face aux radiations Partenaires, 2005.

. Aci-sécurité-informatique, Coordonnatrice du projet VENUS : EValuation des Effets Nuisibles pour les Usagers d'un Système intégré Partenaires : Laboratoire IXL, 2002.

P. Industriel, E. Partenaire, and . Projet, Méthodologie de remplacement des processeurs obsolètes, cas d'étude: Motorola 6800, validation des solutions de remplacement Partenaires: EDF (Electricité de France) et Laboratoire TIMA, 2001.

P. Européen, F. Ist-"-fracture-"-responsable, and T. Projet, Fault Tolerant Memories for High Defect Densities: Design and Validation of New Built in Self Repair Architectures Built in Nanotechnologies

I. Membre-d-'organisations-scientifiques-@bullet-membre and . @bullet-membre, Crete, Grece ? Vice General Chair IEEE International On Line Testing Symposium Lake Como, Italy ? General Chair IEEE International On Line Testing Symposium ? Publicity Chair IEEE International On Line Testing Symposium, ? Publicity Chair IEEE International On Line Testing Symposium ? Local Chair IEEE International On Line Testing Workshop, 2002.

@. Morocco, . Montevideo, and @. Uruguay, From Nuclear Reaction to System Failures: Can We Address All Levels of Soft Errors Accurately? Next generation Design: Is EDA the Weakest Link? Process Variation Impact on Design and Test Collaborations on Research and Education between Europe and Latin America Future Directions Caused by Very Deep Submicron technologies, Participation à des panels dans des conférences internationales : ? « Design and Test Challenges in Deep Sub-micron Nanotechnologies Symposium on Integrated Circuits and Systems Design Blocks Hardening Techniques " , RADiation Effects on Component and Systems, 2001.

G. Présentation-dans-le-cadre-du-groupe-thématique, Conception tolérante aux fautes, défauts et dispersions", février et juin 2007 ? Participation au groupe de travail RIS (Réseau d'Ingeniérie de la Sureté de fonctionnement) entre, présentation des travaux de recherche ? Participation aux Actions Spécifiques STIC du CNRS « AS-AMS » pendant l'année, 2002.

C. Lazzari, L. Anghel, and R. Reis, A Transistor Placement Technique Using Genetic Algorithm And Analytical Programming selection of best papers from VLSI-SoC'05, Chapter, 2007.

I. O. Connor, J. Liu, F. Gaffiot, F. Pregaldiny, C. Manneux et al., CNTFET modeling and reconfigurable logic circuit design, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00187137

C. Lazzari, L. Anghel, and R. Reis, Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis: A Case Study, 12th IEEE International On-Line Testing Symposium (IOLTS'06), 2007.
DOI : 10.1109/IOLTS.2006.48

URL : https://hal.archives-ouvertes.fr/hal-00143423

L. Anghel, M. Nicolaidis, and N. Achouri, Memory Defect Tolerance Architectures for Nanotechnologies, Journal of Electronic Testing and Testable Applications, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00102825

D. Alexandrescu, L. Anghel, and M. Nicolaidis, Simulating Single Event Transients in VDSM ICs for Ground Level Radiation, Journal of Electronic Testing and Testable Applications, 2004.
DOI : 10.1023/B:JETT.0000039608.48856.33

URL : https://hal.archives-ouvertes.fr/hal-00013725

M. Nicolaidis and L. , Concurrent checking for VLSI, Microelectronic Engineering, vol.49, issue.1-2, pp.139-156, 1999.
DOI : 10.1016/S0167-9317(99)00435-9

URL : https://hal.archives-ouvertes.fr/hal-01412486

C. Lazzari, R. Reis, and L. , Anghel, « Efficient Timing Closure with a Transistor Level Design Flow " , accepté à la conference VLSI SOC, 2007.

C. Grecu, L. Anghel, P. Pande, A. Ivanov, and R. Saleh, Essential Fault-Tolerance Metrics for NoC Infrastructures, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007.
DOI : 10.1109/IOLTS.2007.31

URL : https://hal.archives-ouvertes.fr/hal-00174144

C. Rusu, A. Bougerol, L. Anghel, C. Weulerse, N. Buard et al., Multiple Event Transient Induced by Nuclear Reactions in CMOS Logic Cells, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007.
DOI : 10.1109/IOLTS.2007.46

URL : https://hal.archives-ouvertes.fr/hal-00172599

L. Anghel and M. Nicolaidis, Defects Tolerant Logic Gates for Unreliable Future Nanotechnologies », Invited Talk dans une session Speciale de la conférence IWANN (International Work-Conference on, Artificial Neural Networks), 2007.

T. Dang, L. Anghel, and R. Leveugle, CNTFET-based Logic Gates and Characteristics, accepté au IEEE Silicon Nanoelectronics Workshop, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00173965

V. Pouget, A. Douin, D. Lewis, L. Anghel, R. Leveugle et al., Tools and Methodology Development for Pulsed laser Fault Injection in SRAM based FPGA, Proceedings of 9 th IEEE Latin American Workshop, 2007.

A. Ammari, L. Anghel, R. Leveugle, C. Lazzari, and R. Reis, SET Fault Injection Methods in Analog Circuits: Case Study, Proceedings of 9 th IEEE Latin American Workshop, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00156749

T. Dang, L. Anghel, and R. Leveugle, CNTFET-based Logic Gates and Simulation, Proceedings of IEEE International Design and Test, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00156737

T. Dang, L. Anghel, and R. Leveugle, CNTFET basics and simulation, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006., 2006.
DOI : 10.1109/DTIS.2006.1708731

URL : https://hal.archives-ouvertes.fr/hal-00105481

C. Lazzari, L. Anghel, and R. Reis, Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis: A Case Study, 12th IEEE International On-Line Testing Symposium (IOLTS'06), 2006.
DOI : 10.1109/IOLTS.2006.48

URL : https://hal.archives-ouvertes.fr/hal-00143423

G. Hubert, A. Bougerol, T. Carriere, N. Buard, and L. , Prediction of Transients Induced by neutrons, Protons in CMOS Combinational Logic Cells IEEE Inetrnational On Line Testing Symposium, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00142517

C. Lazzari, L. Anghel, and R. A. Reis, A Transistor Placement Technique Using Genetic Algorithm and Analytical Programming, Proceedings of IFIP VLSI ?SOC Conference, 2005.
DOI : 10.1007/978-0-387-73661-7_21

URL : https://hal.archives-ouvertes.fr/hal-00191996

C. Lazzari, L. Anghel, and R. A. Reis, On implementing a soft error hardening technique by using an automatic layout generator: case study, 11th IEEE International On-Line Testing Symposium, 2005.
DOI : 10.1109/IOLTS.2005.45

URL : https://hal.archives-ouvertes.fr/hal-00015449

L. Anghel, R. Leveugle, and P. Vanhauwaert, Evaluation of SET and SEU effects at multiple abstraction levels, 11th IEEE International On-Line Testing Symposium, 2005.
DOI : 10.1109/IOLTS.2005.28

URL : https://hal.archives-ouvertes.fr/hal-00015000

C. Lazzari, L. Anghel, and R. Reis, Soft Error Circuit Hardening Techniques Implementation Using an Automatic Layout Generator, Proceedings of IEEE Latin American Test Workshop, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00460557

L. Anghel, E. Kolonis, and M. , Nicolaidis « Transient and Permanent Fault Tolerance Memory Cells for Unreliable Future Nanotechnologies», Proceedings of IEEE Latin American Test Workshop, 2005.

L. Anghel and D. Bhattacharia, Timing Closure: Hybrid Optimization to the Rescue, EE Time Asia, 2004.

L. Anghel, E. Sanchez, M. Sonza-reorda, G. Squillero, and R. Velazco, Coupling different methodologies to validate obsolete processors, Proceedings of Defect and Fault Tolerance in VLSI systems, 2004.

L. Anghel, M. Nicolaidis, and N. Achouri, Built In Self Repair Techniques for Based on ECC Codes to Cope with Memories Affected by High Defect Densities, Proceedings on IEEE VLSI Test Symposium Best Paper Award of IEEE VTS Conference, 2004.

L. Anghel, M. Nicolaidis, and N. Achouri, Evaluation of memory built-in self repair techniques for high defect density technologies, 10th IEEE Pacific Rim International Symposium on Dependable Computing, 2004. Proceedings., 2004.
DOI : 10.1109/PRDC.2004.1276581

URL : https://hal.archives-ouvertes.fr/hal-00005749

M. Nicolaidis, N. Achouri, and L. , Anghel, « A Memory Built-In Self Repair for High Defect Densities Based on Error Polarities » in Proceedings of 18 th , Defect and Fault Tolerance for VLSI Systems, 2003.

L. Anghel, R. Velazco, and S. Saleh, Validation of an Approach Dealing with Processor Obsolescence Defect and Fault Tolerance for VLSI Systems, Proceedings of 18 th, 2003.

M. Nicolaidis, N. Achouri, and L. , Anghel, « Memory Built In Self Repair for Nanotechnologies, Proceedings of IEEE 9 th International On Line Testing Symposium, 2003.

R. Velazco, L. Anghel, and S. Saleh, A methodology for test replacement solutions of obsolete processors, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., 2003.
DOI : 10.1109/OLT.2003.1214400

URL : https://hal.archives-ouvertes.fr/hal-00005832

D. Alexandrescu, L. Anghel, and M. , Nicolaidis, « New Methods for Evaluating the Impact of Single Event Transients in VDSM ICs, Proceedings of 17 th , Defect and Fault Tolerance for VLSI Systems, 2002.

D. Alexandrescu, L. Anghel, and M. Nicolaidis, Simulating Single Event Transients in VDSM ICs for Ground Level Radiation, Journal of Electronic Testing, vol.20, issue.4, 2002.
DOI : 10.1023/B:JETT.0000039608.48856.33

URL : https://hal.archives-ouvertes.fr/hal-00013725

L. Anghel, D. Alexandrescu, and M. , Nicolaidis «Evaluation of Soft Error Tolerance Technique Based on Time and/or Space Redundancy, Proceedings of XIII Symposium on Integrated Circuits and Systems Design, 2000.

L. Anghel, M. Nicolaidis, and I. , Self-checking circuits versus realistic faults in very deep submicron, Proceedings 18th IEEE VLSI Test Symposium, pp.55-63, 2000.
DOI : 10.1109/VTEST.2000.843827

URL : https://hal.archives-ouvertes.fr/hal-00013754

L. Anghel and M. Nicolaidis, Cost Reduction and Evaluation of a Temporary Faults Detecting Technique, Proceeding of Design Automation and Test in Europe Best Paper Award of IEEE/ACM « Design, Automation and Test in Europe, pp.591-597, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00013756

T. Calin, L. Anghel, and M. Nicolaidis, Built-in current sensor for IDDQ testing in deep submicron CMOS, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), 1999.
DOI : 10.1109/VTEST.1999.766657

URL : https://hal.archives-ouvertes.fr/hal-00005845

L. Anghel and M. Nicolaidis, Implementation and Evaluation of a Soft Error Detecting Technique, Proceedings of 5 th IEEE International On-Line Testing Workshop, 1999.
URL : https://hal.archives-ouvertes.fr/hal-01357768