G. E. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, pp.114-117, 1965.
DOI : 10.1109/JPROC.1998.658762

C. A. Mack, Trends in optical lithography. Optics and Photonics News, 1996.

E. Pargon, Analyse des mécanismes mis en jeu lors de l'´ elaboration par gravure plasma de structures de dimensions déca-nanométriques : Application au transistor CMOS ultime, Thèse de doctorat de l'Université Joseph Fourier, 2004.

M. D. Levenson, N. S. Visnawathan, and R. A. Simpson, Improving resolution in photolithography with a phase-shifting mask, IEEE Transactions on Electron Devices, vol.29, issue.12, pp.1828-1836, 1982.
DOI : 10.1109/T-ED.1982.21037

R. Dejule, Wafer stepper trends. Semiconductor International, 1997.

M. Bhave, J. D. Meador, J. B. Claypool, S. V. Deshpande, J. Akers et al., Thin organic bottom antireflective coatings for 193 nm lithography, Advances in Resist Technology and Processing XIX, pp.1074-1084, 2002.
DOI : 10.1117/12.474183

J. Bauer, G. Drescher, and M. Illig, Surface tension, adhesion and wetting of materials for photolithographic process, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.4, pp.2485-2492, 1996.
DOI : 10.1116/1.588757

J. C. Strieter, The chemical behavior of positive working systems, Proceedings of Kodak Microelectronics Seminar, pp.116-122, 1976.

H. Ito, Chemical amplification resists : History and development within IBM, IBM J. Res. Develop, vol.41, p.69, 1997.
DOI : 10.1147/rd.441.0119

H. Levinson, Principle of lithography, SPIE Optical Engineering Press, 2001.

C. A. Mack, The new, new limits of optical lithography, Emerging Lithographic Technologies VIII, pp.1-8, 2004.
DOI : 10.1117/12.546201

M. Rothschild, T. M. Bloomstein, J. E. Curtin, D. K. Downs, T. H. Fedynyshyn et al., 157 nm: Deepest deep-ultraviolet yet, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.6, pp.173262-3266, 1999.
DOI : 10.1116/1.591137

T. Brunner, Pushing the limits of lithography for IC production, International Electron Devices Meeting. IEDM Technical Digest, pp.9-13, 1997.
DOI : 10.1109/IEDM.1997.649433

J. W. Bossung, Projection printing characterization, Proc. SPIE, pp.80-84, 1977.
DOI : 10.1117/12.955357

L. Liebmann, Understanding across-chip line-width variation: the first step toward optical proximity correction, Optical Microlithography X, pp.124-136, 1997.
DOI : 10.1117/12.276015

C. A. Mack, Corner rounding and line end shortening in optical lithography, Proc. SPIE, pp.83-92, 2000.

B. J. Lin, Phase-shifting masks gain an edge, IEEE Circuits and Devices Magazine, vol.9, issue.2, pp.28-35, 1993.
DOI : 10.1109/101.200850

W. L. Krisa, C. M. Garza, and R. D. Bennett, <title>Contact performance with an attenuated phase shift reticle and variable partial coherence</title>, Optical/Laser Microlithography VIII, pp.524-531, 1995.
DOI : 10.1117/12.209282

M. Op-de-beeck, K. Ronse, K. Gandehari, P. Jaenen, H. Botermans et al., Van den hove. NA/sigma optimization strategies for an advanced DUV stepper applied to 0, µm critical levels. Proc. SPIE, pp.320-332, 1997.

W. N. Partlo, P. J. Thompkins, P. G. Dewa, and P. F. Michaloski, Depth of focus and resolution enhancement of i-line and deep-UV lithography using annular illumination, Optical/Laser Microlithography, pp.137-157, 1927.
DOI : 10.1117/12.150420

M. Noguchi, M. Muraki, Y. Iwasaki, and A. Suzuki, Sub-half micron lithography system with phase-shifting effect, Proc. SPIE, pp.92-104, 1992.

H. C. Pfeiffer, The history and potential of maskless e-beam lithography. Microlithography World, 2005.

L. Pain, M. Charpin, Y. Laplanche, and D. Henry, Shaped e-beam lithography integration work for advanced ASIC manufacturing, Proc. SPIE, pp.607-618, 2002.

M. Yamabe, Status and issues of EPL, Photomask and Next-Generation Lithography Mask Technology XI, pp.880-889, 2004.
DOI : 10.1117/12.557818

T. Fujiwara, N. Hirayanagi, J. Udagawa, J. Ikeda, S. Shimizu et al., Total performance of Nikon EB stepper R&D tool, Emerging Lithographic Technologies VIII, pp.468-477, 2004.
DOI : 10.1117/12.537008

T. Sandström, A. Bleeker, J. D. Hintersteiner, K. Troost, J. Freyer et al., OML: optical maskless lithography for economic design prototyping and small-volume production, Optical Microlithography XVII, pp.777-787, 2004.
DOI : 10.1117/12.537391

C. W. Gwyn, R. Stulen, D. Sweeney, and D. Attwood, Extreme ultraviolet lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.16, issue.6, pp.3142-3149, 1998.
DOI : 10.1116/1.590453

S. Y. Chou, P. R. Krauss, and P. Renstrom, Imprint of sub???25 nm vias and trenches in polymers, Applied Physics Letters, vol.67, issue.21, pp.3114-3116, 1995.
DOI : 10.1063/1.114851

S. Y. Chou, P. R. Krauss, W. Zhang, L. Guo, and L. Zhuang, Sub-10 nm imprint lithography and applications, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.15, issue.6, pp.2897-2904, 1997.
DOI : 10.1116/1.589752

P. R. Krauss and S. Y. Chou, Nano-compact disks with 400???Gbit/in2 storage density fabricated using nanoimprint lithography and read with proximal probe, Applied Physics Letters, vol.71, issue.21, pp.713174-3176, 1997.
DOI : 10.1063/1.120280

C. Perret, C. Gourgon, F. Lazzarino, J. Tallal, S. Landis et al., Characterization of 8-in. wafers printed by nanoimprint lithography, Microelectronic Engineering, vol.73, issue.74, pp.172-177, 2004.
DOI : 10.1016/S0167-9317(04)00094-2

V. Grigaliunas, V. Kopustinskas, S. Meskinis, M. Margelevicius, I. Mikulskas et al., Replication technology for photonic band gap applications, Optical Materials, vol.17, issue.1-2, pp.15-18, 2001.
DOI : 10.1016/S0925-3467(01)00073-8

V. Studer, A. Pepin, Y. Chen, and A. Ajdari, Nanoembossing of thermoplastic polymers for microfluidic applications, Applied Physics Letters, vol.80, issue.19, pp.3614-3616, 2002.
DOI : 10.1063/1.1479202

F. Lazzarino, Etude et caractérisation des films minces lors du procédé de lithographie par nanoimpression, Thèse de doctorat de l'Université Joseph Fourier, 2005.

M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey et al., Step and flash imprint lithography: a new approach to high-resolution patterning, Emerging Lithographic Technologies III, p.379, 1999.
DOI : 10.1117/12.351155

D. J. Resnick, W. J. Dauksher, D. Mancini, K. J. Nordquist, T. C. Bailey et al., Imprint lithography for integrated circuit fabrication, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, pp.2624-2631, 2003.
DOI : 10.1116/1.1618238

G. E. Blackham, The evolution of the modern microscope, Proceedings fo the american society of microscopists, pp.25-47

W. Taberelli and E. Loebach, Apparatus for the photolithographic manufacture of intergrated circuit element, U.S. Patent, p.4509852, 1985.

B. J. Lin, The future of subhalf-micrometer optical lithography, Microelectronic Engineering, vol.6, issue.1-4, pp.31-51, 1987.
DOI : 10.1016/0167-9317(87)90015-3

H. Kawata, J. Carter, A. Yen, and H. I. Smith, Optical projection lithography using lenses with numerical apertures greater than unity, Microelectronic Engineering, vol.9, issue.1-4, pp.31-36, 1989.
DOI : 10.1016/0167-9317(89)90008-7

H. Kawata, I. Matsumara, H. Yoshida, and K. Murata, Fabrication of 0.2 ??m Fine Patterns Using Optical Projection Lithography with an Oil Immersion Lens, Japanese Journal of Applied Physics, vol.31, issue.Part 1, No. 12B, pp.4174-4177, 1992.
DOI : 10.1143/JJAP.31.4174

G. Owen, R. F. Pease, D. A. Markle, A. Grenville, R. L. Hsieh et al., 1/8 ??m optical lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.10, issue.6, pp.3032-3035, 1992.
DOI : 10.1116/1.585966

B. J. Lin, The k 3 coefficient in nonparaxial ?/N A scaling equations for resolution, depth of focus, and immersion lithography, Journal of Microlithography, Microfabrication and Microsystems, vol.1, issue.1, pp.7-12, 2002.

F. A. Jenkins and H. E. White, Fundamentals of Optics, American Journal of Physics, vol.26, issue.4, 1976.
DOI : 10.1119/1.1996127

S. Baek, D. C. Cole, M. Rothschild, M. Switkes, M. S. Yeung et al., Simulation study of process latitude for liquid immersion lithography, Journal of Microlithography, Microfabrication and Microsystems, vol.3, issue.1, pp.52-60, 2004.

J. Mulkens, D. Flagello, B. Streefkerk, and P. Graeupner, Benefits and limitations of immersion lithography, Journal of Microlithography, Microfabrication and Microsystems, vol.3, issue.1, pp.104-114, 2004.

S. Owa and H. Nagasaka, Immersion lithography; its potential performance and issues, Optical Microlithography XVI, pp.724-733, 2003.
DOI : 10.1117/12.504599

S. Owa and H. Nagasaka, Advantage and feasibility of immersion lithography, Journal of Micro/Nanolithography, MEMS, and MOEMS, vol.3, issue.1, pp.97-103, 2004.
DOI : 10.1117/1.1637593

W. Ulrich, H. J. Rostalski, and R. Hudyma, The development of dioptric projection lenses for DUV lithography. IODM conf, 2002.

S. Owa, H. Nagasaka, Y. Ishii, O. Hirakawa, and T. Yamamoto, Feasibility of immersion lithography, Optical Microlithography XVII, pp.264-272, 2004.
DOI : 10.1117/12.536852

S. Owa, H. Nagasaka, Y. Ishii, K. Shiraishi, and S. Hirukawa, Full-field exposure tools for immersion lithography, Optical Microlithography XVIII, pp.655-668, 2005.
DOI : 10.1117/12.599352

J. Mulkens, B. Streefkerk, M. Hoogendorp, R. Moerman, M. Leenders et al., Immersion lithography exposure systems: today's capabilities and tomorrow's expectations, Optical Microlithography XVIII, pp.710-724, 2005.
DOI : 10.1117/12.606799

B. Streefkerk, J. Baselmans, W. Van-ansem, J. Mulkens, C. Hoogendam et al., Extending optical lithography with immersion, Optical Microlithography XVII, pp.285-305, 2005.
DOI : 10.1117/12.534009

M. Switkes, R. R. Kunz, R. F. Sinta, M. Rothschild, P. M. Gallagher-wetmore et al., Immersion liquids for lithography in the deep ultraviolet, Optical Microlithography XVI, pp.690-699, 2003.
DOI : 10.1117/12.485329

M. Switkes and M. Rohschild, Resolution enhancement of 157 nm lithography by liquid immersion, Journal of Microlithography, Microfabrication and Microsystems, vol.1, issue.3, pp.225-228, 2002.

J. H. Burnett and S. Kaplan, Measurement of the refractive index and thermo-optic coefficient of water near 193 nm, Proc. SPIE, pp.1742-1749, 2003.

V. Liberman, S. T. Palmacci, D. E. Hardy, M. Rothschild, and A. Greenville, Controlled contamination studies in 193-nm immersion lithography, Optical Microlithography XVIII, pp.148-153, 2005.
DOI : 10.1117/12.601473

M. Rothschild, T. M. Bloomstein, R. R. Kunz, V. Liberman, M. Switkes et al., Liquid immersion lithography: Why, how, and when?, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6, pp.222877-2881, 2004.
DOI : 10.1116/1.1802955

J. Zhou, Y. Fan, A. Bourov, N. Lafferty, F. Cropanese et al., Immersion lithography fluids for high NA 193 nm lithography, Optical Microlithography XVIII, pp.630-637, 2005.
DOI : 10.1117/12.602533

T. Miyamatsu, Y. Wang, S. Kusumoto, T. Chiba, H. Nakagawa et al., Material design for immersion lithography with high refractive index fluid (HIF), Advances in Resist Technology and Processing XXII, pp.10-19, 2005.
DOI : 10.1117/12.599165

K. Lee, J. Kunjappu, S. Jockusch, N. J. Turro, T. Widerschpan et al., Amplification of the index of refraction of aqueous immersion fluids by ionic surfactants, Advances in Resist Technology and Processing XXII, pp.537-553, 2005.
DOI : 10.1117/12.606105

G. Chumanov, D. D. Evanoff, I. Luzinov, V. Klep, B. Zdyrko et al., Nanocomposite liquids for 193 nm immersion lithography: a progress report, Advances in Resist Technology and Processing XXII, pp.847-850, 2005.
DOI : 10.1117/12.601479

J. C. Taylor, R. Shayib, S. Goh, C. R. Chambers, W. Conley et al., Fluids and resists for hyper NA immersion lithography, Advances in Resist Technology and Processing XXII, pp.836-846, 2005.
DOI : 10.1117/12.600771

B. Budhlall, G. Parris, P. Zhang, X. Gao, Z. Zarkov et al., High refractive index immersion fluids for 193 nm immersion lithography, Optical Microlithography XVIII, pp.622-629, 2005.
DOI : 10.1117/12.600025

S. Peng, R. H. French, W. Qiu, R. C. Wheland, M. Yang et al., Second generation fluids for 193 nm immersion lithography, Optical Microlithography XVIII, pp.427-434, 2005.
DOI : 10.1117/12.606448

K. Nakano, S. Owa, I. Malik, T. Yamamoto, and S. Nag, Analysis and improvement of defectivity in immersion lithography, Optical Microlithography XIX, pp.1531-1542, 2006.
DOI : 10.1117/12.656195

H. Nakagawa, A. Nakamura, H. Dougaushi, M. Shima, S. Kusumoto et al., Improvement of watermark defect in immersion lithography: mechanism of watermark defect formation and its reduction by using alkaline-soluble immersion topcoat, Advances in Resist Technology and Processing XXIII
DOI : 10.1117/12.655517

D. Kawamura, T. Takeishi, K. Sho, K. Matsunaga, N. Shibata et al., Influence of the watermark in immersion lithography process, Advances in Resist Technology and Processing XXII, pp.818-826, 2005.
DOI : 10.1117/12.599314

W. Hinsberg, G. Wallraff, C. Larson, B. Davis, V. Deline et al., Liquid immersion lithography: evaluation of resist issues, Advances in Resist Technology and Processing XXI, pp.21-33, 2004.
DOI : 10.1117/12.536576

P. D. Bisschop, A. Erdmann, and A. Rathsfeld, Simulation of the effect of a resist-surface bound air bubble on imaging in immersion lithography, Optical Microlithography XVIII, pp.243-253, 2005.
DOI : 10.1117/12.599792

Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, and B. Smith, Study of air-bubble-induced light scattering effect on image quality in 193-nm immersion lithography, Optical Microlithography XVII, pp.477-486, 2004.
DOI : 10.1117/12.537255

L. Marinier, Y. Aksenov, R. Morton, D. Van-steenwinckel, and P. Zandbergen, Anti-bubble topcoat for immersion lithography, Advances in Resist Technology and Processing XXII, pp.527-536, 2005.
DOI : 10.1117/12.599643

M. Switkes, R. R. Kunz, M. Rothschild, R. F. Sinta, M. Yeung et al., Extending optics to 50 nm and beyond with immersion lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, pp.2794-2799, 2003.
DOI : 10.1116/1.1624257

W. Conley, R. J. Lesuer, F. Fan, A. J. Bard, C. Taylor et al., Understanding the photoresist surface-liquid interface for ArF immersion lithography, Advances in Resist Technology and Processing XXII, pp.64-77, 2005.
DOI : 10.1117/12.601482

W. Hinsberg, J. Hoffnagle, G. Wallraff, C. Larson, F. A. Houle et al., Evaluation of functional properties of imaging materials for water immersion lithography, Advances in Resist Technology and Processing XXII, pp.508-518, 2005.
DOI : 10.1117/12.600560

F. Houlihan, W. Kim, R. Sakamuri, K. Hamilton, A. Dimerli et al., Study of barrier coats for application in immersion 193-nm lithography, Advances in Resist Technology and Processing XXII, pp.78-94, 2005.
DOI : 10.1117/12.601768

S. C. Lee, L. R. Dawson, B. Pattada, S. R. Brueck, Y. Jiang et al., Strain-relieved, dislocation-free InxGa1???xAs???GaAs(001) heterostructure by nanoscale-patterned growth, Applied Physics Letters, vol.85, issue.18, pp.4181-4183, 2004.
DOI : 10.1063/1.1811799

M. J. O-'brien, P. Bisong, L. K. Ista, E. M. Rabinovitch, A. L. Garcia et al., Fabrication of an integrated nanofluidic chip using interferometric lithography, J. Vac. Sci. Technol. B, vol.21, pp.2941-2945, 2003.

C. A. Ross, S. Haratani, F. J. Castagno, Y. Hao, M. Hwang et al., Magnetic behavior of lithographically patterned particle arrays (invited), Journal of Applied Physics, vol.91, issue.10, pp.6848-6853, 2002.
DOI : 10.1063/1.1452247

J. L. Bradshaw, J. D. Bruno, J. T. Pham, D. E. Wortman, S. Zhang et al., Single-longitudinal-mode emission from interband cascade DFB laser with a grating fabricated by interferometric lithography, IEE Proceedings - Optoelectronics, vol.150, issue.4, pp.288-292, 2003.
DOI : 10.1049/ip-opt:20030613

M. Campbell, D. N. Sharp, M. T. Harrison, R. G. Denning, and A. J. Durberfield, Fabrication of photonic crystals for the visible spectrum by holographic lithography, Nature, vol.404, pp.53-56, 2000.

S. Zhang, W. Fand, A. Frauenglass, B. Minhas, K. J. Malloy et al., Midinfrared Resonant Magnetic Nanostructures Exhibiting a Negative Permeability, Physical Review Letters, vol.94, issue.3, p.37402, 2005.
DOI : 10.1103/PhysRevLett.94.037402

R. T. Chen, L. S. Sadovik, T. M. Aye, and T. P. Jannsonn, Holographic lithography for microcircuits, Proc. SPIE, pp.290-296, 1990.
DOI : 10.1117/12.17991

S. Sainov, A. Espanet, C. Ecoffet, and D. Lougnot, High spatial frequency evanescent wave holographic recording in photopolymers, Journal of Optics A: Pure and Applied Optics, vol.5, issue.2, pp.142-146, 2003.
DOI : 10.1088/1464-4258/5/2/311

F. Clube, S. Gray, D. Struchen, S. Malfoy, Y. Darbellay et al., Micro-optical technologies for measurement sensors and microsystems and optical fiber sensor technologies and applications : Large-field, high-resolution photolithography, 1997.

Y. Fan, A. Bourov, M. Slocum, and B. Smith, Effects of beam pointing instability on two-beam interferometric lithography, Optical Microlithography XIX, p.61542, 2006.
DOI : 10.1117/12.656698

E. Wolf and L. Mandel, Coherence properties of optical fields, Reviews of modern physics, vol.37, issue.2, pp.231-287, 1965.

A. Lagrange, A. Charley, O. Lartigue, and M. Derouard, Assembly of a 193-nm interferometer for immersion lithography: vibration effects on image contrast, Optical Microlithography XIX, p.61544, 2006.
DOI : 10.1117/12.652227

A. K. Raub, A. Frauenglass, S. R. Brueck, W. Conley, R. Dammel et al., Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6, pp.223459-3464, 2004.
DOI : 10.1116/1.1824951

M. Yoshida, K. Endo, K. Ishizuka, and M. Sato, Development Status of High Performance Materials for Immersion Lithography, Journal of Photopolymer Science and Technology, vol.17, issue.4, pp.603-607, 2004.
DOI : 10.2494/photopolymer.17.603

H. Sewell, D. Mccafferty, C. Wagner, and L. Markoya, Optical Lithography for the 32nm Node, Journal of Photopolymer Science and Technology, vol.18, issue.5, pp.579-586, 2005.
DOI : 10.2494/photopolymer.18.579

B. W. Smith, J. Fan, A. Zhou, L. Bourov, N. Zavyalova et al., Hyper NA water immersion lithography at 193???nm and 248???nm, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.6, pp.223439-3443, 2004.
DOI : 10.1116/1.1825018

J. Zhou, Y. Fan, and B. W. Smith, Three-dimensional imaging of 30-nm nanospheres using immersion interference lithography, Proc. SPIE, pp.1563-1569, 2006.

J. A. Hoffnagle, W. D. Hinsberg, M. Sanchez, and F. A. Houle, Liquid immersion deep-ultraviolet interferometric lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.6, pp.3306-3309, 1999.
DOI : 10.1116/1.591000

A. Bourov, Y. Fan, F. C. Cropanese, N. V. Lafferty, L. Zavyalova et al., Immersion lithography at 193 nm with a Talbot prism interferometer, Proc. SPIE, pp.1573-1578, 2004.

Y. Sato, M. Doi, S. Miwa, Y. Ichihara, T. Gemma et al., Two beam interferometer using a solid-state 193-nm laser, International Symposium on immersion and 157 nm lithography, 2004.

A. K. Raub and S. R. Brueck, Deep UV immersion interferometric lithography, Proc. SPIE, pp.667-678, 2003.
DOI : 10.1117/12.536772

B. W. Smith, Y. Bourov, L. Fan, N. Zavyalova, F. Lafferty et al., Approaching the numerical aperture of water immersion lithography at 193-nm, Optical Microlithography XVII, pp.273-284, 2004.
DOI : 10.1117/12.537262

B. W. Smith, M. Fan, L. Slocum, and . Zavyalova, 25 nm immersion lithography at 193 nm wavelength, Optical Microlithography XVIII, pp.141-147, 2005.
DOI : 10.1117/12.602414

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.165.5441

E. Hendrickx, M. Op-de-beeck, R. Gronheid, J. Versluijs, L. Van-look et al., Early learning on hyper-NA lithography using two-beam immersion interference, Optical Microlithography XIX, p.61541, 2006.
DOI : 10.1117/12.659007

E. H. Anderson, K. Komatsu, and H. I. Smith, Achromatic holographic lithography in the deep ultraviolet, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.6, issue.1, pp.216-218, 1988.
DOI : 10.1116/1.584008

A. Yen, E. H. Anderson, R. H. Ghanbari, M. L. Schattenbrug, and H. I. Smith, Achromatic holographic configuration for 100-nm-period lithography, Applied Optics, vol.31, issue.22, pp.314540-4545, 1992.
DOI : 10.1364/AO.31.004540

T. A. Savas, M. L. Schattenburg, J. M. Carter, and H. Smith, Large-area achromatic interferometric lithography for 100 nm period gratings and grids, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.6, pp.4167-4170, 1996.
DOI : 10.1116/1.588613

F. J. Weinberg and N. B. Wood, Interferometer based on four diffraction gratings, Journal of Scientific Instruments, vol.36, issue.5, pp.227-230, 1959.
DOI : 10.1088/0950-7671/36/5/306

E. N. Leith and B. J. Chang, Space-Invariant Holography with Quasi-Coherent Light, Applied Optics, vol.12, issue.8, p.1957, 1973.
DOI : 10.1364/AO.12.001957

A. L. Charley, A. Lagrange, O. Lartigue, J. Simon, P. Thony et al., Hyper high numerical aperture achromatic interferometer for immersion lithography at 193 nm, J

M. G. Moharam, E. B. Grann, D. A. Pommet, and T. K. Gaylord, Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings, Journal of the Optical Society of America A, vol.12, issue.5, pp.1068-1076, 1995.
DOI : 10.1364/JOSAA.12.001068

B. E. Maile, W. Henschel, H. Kurz, B. Rienks, R. Polan et al., Sub-10 nm Linewidth and Overlay Performance Achieved with a Fine-Tuned EBPG-5000 TFE Electron Beam Lithography System, Japanese Journal of Applied Physics, vol.39, issue.Part 1, No. 12B, pp.6836-6842, 2000.
DOI : 10.1143/JJAP.39.6836

F. Van-delft, J. Weterings, A. Van-langen-suurling, and H. Romijn, Hydrogen silsesquioxane/novolak bilayer resist for high aspect ratio nanoscale electron-beam lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.6
DOI : 10.1116/1.1319682

W. Liu, C. Yang, W. Chen, B. Dai, and M. Tsai, The structural transformation and properties of spin-on poly(silsesquioxane) films by thermal curing, Journal of Non-Crystalline Solids, vol.311, issue.3, pp.233-240, 2002.
DOI : 10.1016/S0022-3093(02)01373-X

D. Gil, R. Menon, and H. Smith, Fabrication of high-numerical-aperture phase zone plates with a single lithography exposure and no etching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, pp.2956-2960, 2003.
DOI : 10.1116/1.1619957

J. A. Liddle, F. Salmassi, P. P. Naulleau, and E. M. Gullikson, Nanoscale topography control for the fabrication of advanced diffractive optics, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, pp.2980-2984, 2003.
DOI : 10.1116/1.1622938

D. P. Mancini, K. A. Gehoski, E. Ainley, K. J. Nordquist, D. J. Resnick et al., Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.6, pp.2896-2901, 2002.
DOI : 10.1116/1.1515311

S. Matsui, Y. Igaku, H. Ishigaki, and J. Fujita, Room-temperature nanoimprint and nanotransfer printing using hydrogen silsequioxane, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.2, pp.688-692, 2003.
DOI : 10.1116/1.1545754

S. Goodwill, 100mm : fused silica wafers

D. Corning, FOX(R)-12 FLOWABLE OXIDE

G. Cunge, R. L. Inglebert, O. Joubert, L. Vallier, and N. Sadeghi, Ion flux composition in HBr/Cl[sub 2]/O[sub 2] and HBr/Cl[sub 2]/O[sub 2]/CF[sub 4] chemistries during silicon etching in industrial high-density plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.5, pp.2137-2148, 2002.
DOI : 10.1116/1.1511219

D. Industries and L. , OPTOOL DSX : fluorine surface antifouling coating

J. Tallal, M. Gordon, K. Berton, A. L. Charley, and D. Peyrade, AFM characterization of anti-sticking layers used in nanoimprint, Microelectronic Engineering, vol.83, issue.4-9, pp.851-854, 2006.
DOI : 10.1016/j.mee.2006.01.011

URL : https://hal.archives-ouvertes.fr/hal-00394748

C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Landis et al., Uniformity across 200???mm silicon wafers printed by nanoimprint lithography, Journal of Physics D: Applied Physics, vol.38, issue.1, pp.70-73, 2005.
DOI : 10.1088/0022-3727/38/1/012

URL : https://hal.archives-ouvertes.fr/hal-00385736

. Ibsen-photonics, Transmission gratings

M. H. Hutchinson, Excimers and excimer lasers, Applied Physics, vol.32, issue.2, pp.95-114, 1980.
DOI : 10.1007/BF00900671

G. Laser and . Inc, Excimer lasers

S. Owa, H. Nagasaka, Y. Ishii, O. Hirakawa, and T. Yamamoto, Update on 193 nm immersion exposure tool, Litho Forum International SEMATEH, 2004.

Q. Lin, R. Sooriyakumaran, and W. Huang, Toward controlled resist line-edge roughness: material origin of line-edge roughness in chemically amplified positive-tone resists, Advances in Resist Technology and Processing XVII, pp.230-239, 2000.
DOI : 10.1117/12.388307

J. Santillan, A. Otoguro, T. Itani, K. Fujii, A. Kagayama et al., A study of 193-nm immersion lithography using novel high refractive index fluids, Microelectronic Engineering, vol.83, issue.4-9, pp.651-654, 2006.
DOI : 10.1016/j.mee.2005.12.028

J. Stehle, J. Piel, D. Zahorski, and J. Campillo, Immersion liquid refractive index determination at 193.7 nm and characterization of liquid-top coat interaction by EPA, 2 nd International symposium on immersion lithography, 2005.

D. G. Flagello, S. Hansen, B. Geh, and M. Totzeck, Challenges with hyper-NA (NA>1.0) polarized light lithography for sub ??/4 resolution, Optical Microlithography XVIII, pp.53-68, 2005.
DOI : 10.1117/12.599913

C. Köhler, W. De-boeij, K. Van-ingen-schenau, M. Van-de-kerkhof, J. De-klerk et al., Imaging enhancements by polarized illumination: theory and experimental verification, Optical Microlithography XVIII, pp.734-750, 2005.
DOI : 10.1117/12.599626

B. W. Smith and J. Cashmore, Challenges in high NA, polarization, and photoresists, Optical Microlithography XV, pp.11-24, 2002.
DOI : 10.1117/12.474562

A. Charley, A. Lagrange, O. Lartigue, P. Bandelier, M. Derouard et al., Liquid immersion lithography at 193 nm using a high-NA achromatic interferometer, Optical Microlithography XIX, p.61541, 2006.
DOI : 10.1117/12.654404

URL : https://hal.archives-ouvertes.fr/hal-00080602

H. Kim, J. Lee, J. Shin, S. Woo, H. Cho et al., Experimental Investigation of the Impact of LWR on Sub-100-nm Device Performance, IEEE Transactions on Electron Devices, vol.51, issue.12, pp.1984-1988, 2004.
DOI : 10.1109/TED.2004.839115

M. Williamson, X. Meng, and A. Neureuther, A study of the effects of image contrast and resist types upon line edge roughness (LER), Proc. SPIE, pp.357-365, 2002.

M. Shumway, P. Naulleau, K. Goldberg, and J. Bokor, Measuring line roughness through aerial image contrast variation using coherent extreme ultraviolet spatial filtering techniques, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6
DOI : 10.1116/1.2134717

W. Hinsberg, F. A. Houle, J. Hoffnagle, M. Sanchez, G. Wallraff et al., Deep-ultraviolet interferometric lithography as a tool for assessment of chemically amplified photoresist performance, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.16, issue.6, pp.163689-3694, 1998.
DOI : 10.1116/1.590392

D. R. Mckean, R. D. , P. H. Kasai, U. P. Schaedeli, and S. A. Macdonald, Acid generation and acid diffusion in photoresist films, Advances in Resist Technology and Processing IX, pp.94-103, 1992.
DOI : 10.1117/12.59751

P. C. Tsiartas, L. W. Flanagin, C. L. Henderson, W. D. Hinsberg, I. C. Sanchez et al., The Mechanism of Phenolic Polymer Dissolution:??? A New Perspective, Macromolecules, vol.30, issue.16, pp.4656-4664, 1997.
DOI : 10.1021/ma9707594

E. Collett, Polarized light. Fundamentals and applications, Optical Engineering, 1992.

H. Paqueton and J. Ruste, Microscopié electroniquè a balayage : Principe etéquipementetéquipement

J. Rivoal and C. Frétigny, Microscopiè a force atomique (AFM)

J. Foucher, From CD to 3D sidewall roughness analysis with 3D CD-AFM, Metrology, Inspection, and Process Control for Microlithography XIX, pp.966-976, 2005.
DOI : 10.1117/12.599229

R. Quintanilha, Etude duprobì eme inverse en diffractométrie spectroscopique : applicationàapplicationà la métrologie dimensionnelle en microélectronique, Thèse de doctorat de l'Institut National Polytechnique de Grenoble, 2005.