L. Rayleigh and «. , Investigations in optics with special reference to the spectroscope, The lithography expert: the natural resolution » Microlithography World, p.261, 1879.

O. Toublan and . Les-effets-de-proximité-en-microlithographie, [6] A. Barberet, « Modeling of mask manufacturing process using laser pattern generator tools, to define mask resolution enhancement technologies and to correct mask proximity effects, Thèse de doctorat Thèse de doctorat, 1999.

H. J. Levinson, Principles of lithography, Watermarks defect formation and removal for immersion lithography Proc. SPIE, pp.343-437, 2005.

T. S. Gau, C. K. Chen, and B. J. Lin, Image characterization of bubbles in water for 193-nm immersion lithography???far-field approach, Journal of Micro/Nanolithography, MEMS, and MOEMS, vol.3, issue.1, p.61, 2004.
DOI : 10.1117/1.1630602

M. El-morsi, S. Schuetter, G. Nellis, and R. Engelstad, Full wafer simulation of immersion fluid heating, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6, p.2596, 2005.
DOI : 10.1116/1.2091091

P. J. Silverman, « Equipment for the nanometric generation », 29th conference on Electron, Ion, Photon Beam Nano-technology, 2005.

B. W. Smith, Y. Bourov, and . Fan, Approaching the numerical aperture of water immersion lithography at 193-nm, Optical Microlithography XVII, p.273, 2004.
DOI : 10.1117/12.537262

M. A. Mccord and M. J. , Rooks, « Handbook of microlithography : microfabrication and microsystems », P. Rai-Chaudhury, p.139, 1997.

W. Chen and H. Ahmed, Fabrication of 5???7 nm wide etched lines in silicon using 100 keV electron???beam lithography and polymethylmethacrylate resist, Applied Physics Letters, vol.62, issue.13, p.1499, 1993.
DOI : 10.1063/1.109609

L. R. Harriot, Scattering with angular limitation projection electron beam lithography for suboptical lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.15, issue.6, p.2130, 1997.
DOI : 10.1116/1.589339

H. C. Pfeiffer, R. S. Dhaliwal, and S. D. Golladay, Projection reduction exposure with variable axis immersion lenses: Next generation lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.6, p.2840, 1999.
DOI : 10.1116/1.591080

F. Lazzarino, Etude et caractérisation des films minces lors du procédé de lithographie part nanoimpression, Thèse de doctorat de l'université Joseph Fourier de Grenoble, 2005.

M. Colburn, S. C. Johnson, and M. D. Stewart, Step and flash imprint lithography: a new approach to high-resolution patterning, Emerging Lithographic Technologies III, p.379, 1999.
DOI : 10.1117/12.351155

T. Sandstrom, The maskless stepper : a flashy new tool » Maskless lithography workshop (http://www.sematech.org), pp.27-28, 2001.

D. Chao, A. Patel, and T. Barwicz, Immersion zone-plate-array lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6, p.2657, 2005.
DOI : 10.1116/1.2127942

P. Kirkpatrick and A. V. Baez, Formation of Optical Images by X-Rays, Journal of the Optical Society of America, vol.38, issue.9, p.166, 1948.
DOI : 10.1364/JOSA.38.000766

I. Lovas, W. Santy, and E. Spiller, « Design and assembly of a high resolution Schwarzschild microscope for soft x-rays », SPIE Conf. High Resolution Soft X-Ray Opt, p.90, 1982.

S. Tsuneta, « The soft X-ray telescope for Solar-A telescope, p.37, 1991.

T. Hayasaka, S. Ishihara, H. Kinoshita, and T. Takeuchi, A step-and-repeat x-ray exposure system for 0.5 ??m pattern replication, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.3, issue.6, p.1581, 1985.
DOI : 10.1116/1.582942

A. D. Wilson, «. X-ray-lithography-in, and I. , X-ray lithography in IBM, 1980???1992, the development years, IBM Journal of Research and Development, vol.37, issue.3, p.299, 1980.
DOI : 10.1147/rd.373.0299

H. Kinoshita, K. Kukihara, Y. Ishii, and Y. Torii, Soft x-ray reduction lithography using multilayer mirrors, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.7, issue.6, p.1648, 1989.
DOI : 10.1116/1.584507

J. Borkholm, J. Boker, and L. Eichner, Reduction imaging at 14 nm using multilayer-coated optics: Printing of features smaller than 0.1 ??m, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.8, issue.6, p.1509, 1990.
DOI : 10.1116/1.585106

H. Kinoshita and «. A. , History of extreme ultraviolet lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6, p.2584, 2005.
DOI : 10.1116/1.2127950

T. W. Barbee, S. Mrowka, and M. Hettrick, Molybdenum-silicon multilayer mirrors for the extreme ultraviolet, Applied Optics, vol.24, issue.6, p.883, 1985.
DOI : 10.1364/AO.24.000883

A. R. Neureuther and C. G. Wilson, Reduction in x-ray lithography shot noise exposure limit by dissolution phenomena, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.6, issue.1, p.167, 1988.
DOI : 10.1116/1.584037

R. L. Brainard, Shot noise, LER, and quantum efficiency of EUV photoresists, Emerging Lithographic Technologies VIII, p.74, 2004.
DOI : 10.1117/12.536411

R. Lebert, K. Bergmann, and L. Juschkin, « Comparison of different source concepts for EUVL, Proc. SPIE, p.215, 2001.

U. Stamm, High-power EUV sources for lithography: a comparison of laser-produced plasma and gas-discharge-produced plasma, Emerging Lithographic Technologies VI, p.122, 2002.
DOI : 10.1117/12.472283

U. Stamm, J. Kleinschmidt, and K. , EUV source power and lifetime: the most critical issues for EUV lithography, Emerging Lithographic Technologies VIII, p.133, 2004.
DOI : 10.1117/12.535410

L. A. Shmaenok, C. C. De-bruijn, and H. F. Fledderus, Demonstration of a foil trap technique to eliminate laser plasma atomic debris and small particulates, Emerging Lithographic Technologies II, p.90, 1998.
DOI : 10.1117/12.309633

M. Malinowski, C. Steinhaus, and M. Clift, Controlling contamination in Mo/Si multilayer mirrors by Si surface capping modifications, Emerging Lithographic Technologies VI, p.442, 2002.
DOI : 10.1117/12.472320

P. B. Mirkarimi, Stress, reflectance, and temporal stability of sputter-deposited Mo/Si and Mo/Be multilayer films for extreme ultraviolet lithography, Optical Engineering, vol.38, issue.7, p.1246, 1999.
DOI : 10.1117/1.602170

A. V. Vinagrov and B. Zeldovich, « XUV multilayer mirror: principles and possibilities, Appl. Opt, vol.16, p.89, 1977.

M. Besacier and P. Schiavone, « Shadowing effect minimization in EUV mask by modelling, Proc. SPIE, p.849, 2004.

D. Pettibone, « Inspection of EUVL reticles, Proc. SPIE, p.363, 2002.

T. Liang and A. Stivers, Damage-free mask repair using electron-beam-induced chemical reactions, Emerging Lithographic Technologies VI, p.375, 2002.
DOI : 10.1117/12.472312

A. Barty, EUVL mask blank repair, Emerging Lithographic Technologies VI, p.385, 2002.
DOI : 10.1117/12.472313

Y. Deng, B. L. Fontaine, and A. R. Neureuther, Performance of repaired defects and attPSM in EUV multilayer masks, 22nd Annual BACUS Symposium on Photomask Technology, p.418, 2002.
DOI : 10.1117/12.467896

M. Poulingue, « Le rôle des nodules dans l'endommagement laser des miroirs à 1,06 µm, Thèse de doctorat de l'institut national polytechnique de Grenoble, 1999.

P. B. Mirkarimi and D. G. Stearns, Investigating the growth of localized defects in thin films using gold nanospheres, Applied Physics Letters, vol.77, issue.14, p.2243, 2000.
DOI : 10.1063/1.1314291

E. M. Gullikson, C. Cerjan, and D. G. Stearns, « Practical approach for modelling extreme ultraviolet lithography mask defects, J. Vac. Sci. Technol, vol.201, p.81, 2002.

M. Ito, T. Ogawa, K. Otaki, and I. Nishiyama, Simulation of Multilayer Defects in Extreme Ultraviolet Masks, Japanese Journal of Applied Physics, vol.40, issue.Part 1, No. 4A, p.2549, 2001.
DOI : 10.1143/JJAP.40.2549

Y. Deng, T. Pistor, and A. R. Neureuther, « Models for characterizing the printability of buried EUV defects, Proc. SPIE, p.551, 2001.

P. Evanschitzky and A. Erdmann, Enhanced model for the efficient 2D and 3D simulation of defective EUV masks, Emerging Lithographic Technologies VIII, p.770, 2004.
DOI : 10.1117/12.533217

C. Krautschik and I. Nishiyama, « Printability of opaque and clear phase defects using the finite difference time domain (FDTD) method, Proc. SPIE, p.831, 2003.

T. Pistor and A. Neureuther, Extreme ultraviolet mask defect simulation, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.6, p.3019, 1999.
DOI : 10.1116/1.590946

M. G. Moharam and T. K. Gaylord, Diffraction analysis of dielectric surface-relief gratings, Journal of the Optical Society of America, vol.72, issue.10, p.1385, 1982.
DOI : 10.1364/JOSA.72.001385

P. Schiavone, V. Farys, and E. M. Gullikson, Comparison of different simulation codes for EUV lithography », 1 st EUVL symposium, 2002.

P. Schiavone and R. Payerne, Rigorous Simulation of Line-Defects in Extreme UV Masks, Japanese Journal of Applied Physics, vol.44, issue.9A, p.6810, 2005.
DOI : 10.1143/JJAP.44.6810

URL : https://hal.archives-ouvertes.fr/hal-00020640

M. Besacier, P. Schiavone, V. Farys, and R. Smaali, Modeling of the influence of the defect position on the reflected intensity in EUV mask, Emerging Lithographic Technologies IX, p.629, 2005.
DOI : 10.1117/12.598415

E. Quesnel, J. Hue, V. Muffato, C. Pellé, and P. Lamy, Investigation of nodule growth in ion beam sputtered Mo???Si multilayers, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.5, p.2353, 2004.
DOI : 10.1116/1.1788677

P. B. Mirkarimi, S. L. Baker, and D. G. Stearns, Technique employing gold nanospheres to study defect evolution in thin films, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.3, p.628, 2001.
DOI : 10.1116/1.1364702

K. B. Nguyen and T. D. Nguyen, Defect coverage profile and propagation of roughness of sputter-deposited Mo/Si multilayer coating for extreme ultraviolet projection lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.11, issue.6, pp.11-2964, 1993.
DOI : 10.1116/1.586569

K. B. Nguyen, A. K. Ray-chaudhuri, and R. H. Stulen, « Printability of substrate and absorber defects on extreme ultraviolet lithographic masks, J. Vac. Sci. Technol, pp.13-3082, 1995.

P. Naulleau, K. Goldberg, and E. Anderson, Lithographic characterization of the printability of programmed extreme ultraviolet substrate defects, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.4, p.1286, 2003.
DOI : 10.1116/1.1580839

E. Pargon, Analyse des mécanismes mis en jeu lors de l'élaboration par gravure plasma de structures de dimensions déca-nanométriques : application au transistor CMOS ultime, Thèse de l'Université Joseph Fourier, 2004.

F. Mazen, T. Baron, A. M. Papon, R. Truche, and J. M. Hartmann, A two steps CVD process for the growth of silicon nano-crystals, Applied Surface Science, vol.214, issue.1-4, p.359, 2003.
DOI : 10.1016/S0169-4332(03)00521-X

URL : https://hal.archives-ouvertes.fr/hal-00477200

F. Mazen, L. Molard, T. Baron, S. Decossas, and J. M. Hartmann, « Preferential nucleation of silicon nano-crystals on electron beam exposed SiO2 surface », Microelectronic Engineering, pp.73-74, 2004.

S. Decossas, F. Mazen, T. Baron, G. Brémo, D. et al., Atomic force microscopy nanomanipulation of silicon nanocrystals for nanodevice fabrication, Nanotechnology, vol.14, issue.12, p.1272, 2003.
DOI : 10.1088/0957-4484/14/12/008

H. Oizumi, Y. Tanaka, and F. Fumasaka, « Lithographic performance of high numerical aperture (NA = 0,3) EUV small field exposure (HiNA), Proc. SPIE, p.102, 2005.

J. Foucher and K. Miller, « Study of 3D metrology techniques as an alternative to crosssectional analysis at the R&D level, Proc. SPIE, p.444, 2004.

V. Farys, C. Charpin-nicolle, and M. Richard, Printability of nonsmoothed buried defects in extreme ultraviolet lithography mask blanks, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6, pp.23-2860, 2005.
DOI : 10.1116/1.2135293

URL : https://hal.archives-ouvertes.fr/hal-00020931

P. Naulleau, K. Goldberg, and E. Anderson, Static microfield printing at the Advanced Light Source with the ETS Set-2 optic, Emerging Lithographic Technologies VI, p.64, 2002.
DOI : 10.1117/12.472318

C. Krautschik, M. Ito, I. Nishiyama, and S. Okazaki, Impact of EUV light scatter on CD control as a result of mask density changes, Emerging Lithographic Technologies VI, p.289, 2002.
DOI : 10.1117/12.472302

M. C. Lam and A. R. Neureuther, A 3D substrate and buried defect simulator for EUV mask blanks, Emerging Lithographic Technologies IX, p.455, 2005.
DOI : 10.1117/12.599042

P. Schiavone and R. Payerne, Rigorous simulation of line-defects in EUV masks, Digest of Papers. Microprocesses and Nanotechnology 2001. 2001 International Microprocesses and Nanotechnology Conference (IEEE Cat. No.01EX468), p.6810, 2005.
DOI : 10.1109/IMNC.2001.984121

URL : https://hal.archives-ouvertes.fr/hal-00486165

R. Smaali, M. Besacier, G. Granet, and P. Schiavone, 3D rigorous simulation of EUV defective masks using modal method by Fourier expansion, Digest of Papers Microprocesses and Nanotechnology 2005, 2005.
DOI : 10.1109/IMNC.2005.203750

B. Schmiedeskamp, Electron-beam-deposited Mo/Si and MoxSiy/Si multilayer x-ray mirrors and gratings, Optical Engineering, vol.33, issue.4, p.1314, 1994.
DOI : 10.1117/12.163207

J. Verhoeven, Ion beam modification of Mo???Si multilayer systems for X-ray reflection, Applied Surface Science, vol.55, issue.2-3, p.97, 1992.
DOI : 10.1016/0169-4332(92)90099-J

P. B. Mirkarimi, Stress, reflectance, and temporal stability of sputter-deposited Mo/Si and Mo/Be multilayer films for extreme ultraviolet lithography, Optical Engineering, vol.38, issue.7, p.1246, 1999.
DOI : 10.1117/1.602170

M. S. Barnes, Transport of dust particles in glow-discharge plasmas, Physical Review Letters, vol.68, issue.3, p.313, 1992.
DOI : 10.1103/PhysRevLett.68.313

C. Walton, « Understanding particle defect transport in an ultra-clean sputter coating process, Proc. SPIE, p.470, 2003.

J. A. Folta, Advances in low-defect multilayers for EUVL mask blanks, Emerging Lithographic Technologies VI, p.173, 2002.
DOI : 10.1117/12.472287

V. Paret, P. Boher, B. Vidal, M. Putero-vuaroqueaux, E. Quesnel et al., « Soft-ray/EUV reflectometer for characterization of mask blanks and optics of EUV lithography, Proc. SPIE, p.p. xx, 2002.

P. Meakin, Ballistic deposition onto inclined surfaces, Ballistic deposition onto inclined surfaces, p.994, 1988.
DOI : 10.1103/PhysRevA.38.994

E. Quesnel, J. Hue, V. Muffato, C. Pellé, and P. Lamy, Investigation of nodule growth in ion beam sputtered Mo???Si multilayers, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.5, p.2353, 2004.
DOI : 10.1116/1.1788677

D. B. Boercker and W. L. Morgan, « Simulation of the growth of Mo/Si multilayers, Proc. SPIE, p.47, 1991.

P. B. Mirkarimi, Advancing the ion beam thin film planarization process for the smoothing of substrate particles, Microelectronic Engineering, p.369, 2005.
DOI : 10.1016/j.mee.2004.12.098

M. Yi, T. Haga, C. Walton, C. Larson, and J. Bokor, ???Actinic-only??? Defects in Extreme Ultraviolet Lithography Mask Blanks ???Native Defects at the Detection Limit of Visible-Light Inspection Tools, Japanese Journal of Applied Physics, vol.41, issue.Part 1, No. 6B, p.4101, 2002.
DOI : 10.1143/JJAP.41.4101

T. Liang and E. , Tejnil et A. Stivers, « Pattern inspection of EUV masks using DUV light, Proc. SPIE, p.1065, 2002.

M. Yi, T. Haga, C. Walton, C. Larson, and J. Bokor, High sensitivity actinic detection of native defects on extreme ultraviolet lithography mask blanks, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.6, p.2401, 2001.
DOI : 10.1116/1.1410088

S. J. Spector, D. L. White, D. M. Tennant, L. E. Ocola, A. E. Novembre et al., Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanks, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.6, p.3003, 1999.
DOI : 10.1116/1.590943

A. Barty, Aerial Image Microscope for the inspection of defects in EUV masks, 22nd Annual BACUS Symposium on Photomask Technology, p.1073, 2002.
DOI : 10.1117/12.467918

T. Terasawa, Y. Tezuka, M. Ito, and &. T. Tomie, High-speed actinic EUV mask blank inspection with dark-field imaging, Photomask and Next-Generation Lithography Mask Technology XI, p.1, 2005.
DOI : 10.1117/12.557814

V. Farys, P. Schiavone, and F. , Polack et al , « Detection of buried defect using actinic dark-field microscopy, 2003.

F. Barbo, Spectromicroscopy beamline at ELETTRA: Performances achieved at the end of commissioning, Review of Scientific Instruments, vol.71, issue.1, p.5, 2000.
DOI : 10.1063/1.1150148

B. Kaulich, Differential interference contrast x-ray microscopy with twin zone plates, Journal of the Optical Society of America A, vol.19, issue.4, p.797, 2002.
DOI : 10.1364/JOSAA.19.000797

E. Zeitler and M. G. Thomson, « Scanning transmission electron microscopy, Optik, vol.31, issue.3, p.258, 1970.

M. M. O-'toole and A. R. Neureuther, « The influence of partial coherence on projection printing, Proc SPIE, p.22, 1979.

B. Mortini, « Etude des résines photolithographiques positives 193 nm à amplification chimique et mise au point de leurs conditions de procédé, Thèse de doctorat de l'université Joseph Fourier, 2001.

M. D. Levenson, Improving resolution in photolithography with a phase-shifting mask, IEEE Transactions on Electron Devices, vol.29, issue.12, p.1828, 1982.
DOI : 10.1109/T-ED.1982.21037

R. Payerne, « Simulation pour la micro-électronique avancée », rapport de DEA méthodes physiques expérimentales et instrumentation, 2001.

V. Farys, P. Schiavone, F. Polack, M. Idir, M. Bertolo et al., Publications et Communications « Highly sensitive detection technique of buried defects in extreme ultra-violet masks using at wavelength scanning dark-field microscopy, Principes du choix des caractéristiques pour l'éxamen des instruments de mesure usuels Measurement of residual thickness uniformity using scatterometry, pp.11-3069, 1986.

». V. Farys, C. Charpin-nicolle, M. Richard, J. Robic, V. Muffatto et al., Printability of nonsmoothed buried defects in extreme ultraviolet lithography mask blanks, Printability of non-smoothed buried defect in EUVL mask blank, p.2860, 2005.
DOI : 10.1116/1.2135293

URL : https://hal.archives-ouvertes.fr/hal-00020931

P. Schiavone, C. Gourgon, V. Farys, N. Chaix, and D. , Measurement of residual thickness in nano-imprint lithography using scatterometry, Fuard 3rd international conference on nanoimprint and nanoprint tachnology (NNT 04), 2004.

». V. Farys, C. Charpin-nicolle, M. Richard, S. Postnikov, and P. Schiavone, Investigation of the printability of defects in EUVL mask blank, J.Y. Robic, E. Quesnel, vol.3, 2004.