]. G. Beamson92, D. Beamson, and . Briggs, High resolution XPS of organic polymers, 1992.

]. C. Berglund64, W. E. Berglund, . Spicerbernoux-]-f, J. Bernoux, J. H. Piel et al., Physical Review 136A, p.1030, 1964.

]. K. Berresheim91, . Berresheim, M. Mattern-klosson, and . Wilmers, A standard form of spectra for quantitative ESCA-analysis, Fresenius' Journal of Analytical Chemistry, vol.5, issue.1-2, pp.121-124, 1991.
DOI : 10.1007/BF00322121

T. M. Bloomstein, M. W. Horn, M. Rothschild, R. R. Kunz, S. T. Palmacci et al., Lithography with 157 nm lasers, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.15, issue.6, pp.2112-2116, 1997.
DOI : 10.1116/1.589230

T. M. Bloomstein, M. Rothschild, R. R. Kunz, D. E. Hardy, R. B. Goodman et al., Critical issues in 157 nm lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.16, issue.6, pp.3154-3257, 1998.
DOI : 10.1116/1.590455

]. N. Braithwaite96, J. St, J. P. Braithwaite, G. Booth, and . Cunge, A novel electrostatic probe method for ion flux measurements, Plasma Sources Science and Technology, vol.5, issue.4, pp.677-684, 1996.
DOI : 10.1088/0963-0252/5/4/009

]. D. Briggs83, M. P. Briggs, and . Seah, Practical Surface analysis, 1983.

]. T. Bussing85, P. H. Bussing, and . Holloway, Deconvolution of concentration depth profiles from angle resolved x???ray photoelectron spectroscopy data, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.3, issue.5, pp.1973-1981, 1985.
DOI : 10.1116/1.572910

]. A. Cefalas99, E. Cefalas, P. Sarantopoulou, E. Argitis, and . Gogolides, Mass spectroscopic and degassing characteristics of polymeric materials for 157 nm photolithography, Applied Physics A: Materials Science & Processing, vol.69, issue.7, pp.929-933, 1999.
DOI : 10.1007/s003390051561

]. P. Chabert01, A. J. Chabert, M. A. Lichtenberg, A. M. Lieberman, and . Marakhtanov, Instabilities in low-pressure electronegative inductive discharges, Plasma Sources Science and Technology, vol.10, issue.3, pp.478-489, 2001.
DOI : 10.1088/0963-0252/10/3/313

T. Chang, P. Liu, Y. Mei, Y. Mor, T. Perng et al., Effects of H[sub 2] plasma treatment on low dielectric constant methylsilsesquioxane, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.5, pp.2325-2330, 1999.
DOI : 10.1116/1.590912

]. J. Coburn80, M. Coburn, and . Chen, Optical emission spectroscopy of reactive plasmas: A method for correlating emission intensities to reactive particle density, Journal of Applied Physics, vol.51, issue.6, p.3134, 1980.
DOI : 10.1063/1.328060

]. V. Constantoudis03, G. P. Constantoudis, A. Patsis, E. Tserepi, and . Gogolides, Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.3, pp.1019-1026, 2003.
DOI : 10.1116/1.1570844

P. J. Cumpson, Angle-resolved XPS and AES: Depth-resolution limits and a general comparison of properties of depth-profile reconstruction methods, Journal of Electron Spectroscopy and Related Phenomena, vol.73, issue.1, p.25, 1995.
DOI : 10.1016/0368-2048(94)02270-4

]. B. Deal65, A. S. Deal, and . Grove, General Relationship for the Thermal Oxidation of Silicon, Journal of Applied Physics, vol.36, issue.12, p.3770, 1965.
DOI : 10.1063/1.1713945

]. T. Duc86 and . Duc, Analyse de surface par ESCA : Principe et instrumentation, 1986.

]. D. Edelstein95, G. A. Edelstein, Y. Sai-halasz, and . Mii, VLSI on-chip interconnection performance simulations and measurements, IBM Journal of Research and Development, vol.39, issue.4, pp.383-401, 1995.
DOI : 10.1147/rd.394.0383

C. S. Fadley, R. J. Baird, W. Siekhaus, T. Novakov, and S. Å. Bergström, Surface analysis and angular distributions in x-ray photoelectron spectroscopy, Journal of Electron Spectroscopy and Related Phenomena, vol.4, issue.2, pp.93-137, 1974.
DOI : 10.1016/0368-2048(74)90001-2

F. Gaboriau, M. C. Peignon, G. Cartry, L. Rolland, D. Eon et al., Langmuir probe measurements in an inductively coupled plasma: Electron energy distribution functions in polymerizing fluorocarbon gases used for selective etching of SiO2, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.20, issue.3, p.919, 2002.
DOI : 10.1116/1.1474419

]. K. Gonsalves00, J. Gonsalves, H. Wang, and . Wu, Combinatorial approach for the synthesis of terpolymers and their novel application as very-high-contrast resists for x-ray nanolithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.1, pp.325-855, 2000.
DOI : 10.1116/1.591193

]. R. Gottscho92, C. W. Gottscho, D. J. Jurgensen, and . Vitkavage, Microscopic uniformity in plasma etching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.10, issue.5, pp.2133-2147, 1992.
DOI : 10.1116/1.586180

]. G. Hollinger86, E. E. Hollinger, C. Roth, and . Genty, Analyse chimique et caractérisation, 1986.

]. P. Jiang01, F. G. Jiang, W. W. Celii, K. J. Dostalik, H. Newton et al., Trench etch processes for dual damascene patterning of low-k dielectrics, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.19, issue.4, pp.1388-1391, 2001.
DOI : 10.1116/1.1380717

]. C. Jurgensen89, A. Jurgensen, and . Rammelsberg, Oxygen reactive ion etching mechanisms of organic and organosilicon polymers, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.7, issue.6, pp.3317-3324, 1989.
DOI : 10.1116/1.576143

]. H. Kawata89, J. M. Kawata, A. Carter, H. I. Yen, and . Smith, Optical projection lithography using lenses with numerical apertures greater than unity, Microelectronic Engineering, vol.9, issue.1-4, pp.31-36, 1989.
DOI : 10.1016/0167-9317(89)90008-7

]. J. Kim01, M. S. Kim, Y. Hwang, H. J. Kim, Y. Kim et al., Origin of low dielectric constant of carbon-incorporated silicon oxide film deposited by plasma enhanced chemical vapor deposition, Journal of Applied Physics, vol.90, issue.5, pp.2469-2473, 2001.
DOI : 10.1063/1.1388861

]. K. Kim96, M. H. Kim, Y. G. An, M. S. Shin, C. J. Suh et al., Oxide growth on silicon (100) in the plasma phase of dry oxygen using an electron cyclotron resonance source, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.4, pp.2667-2673, 1996.
DOI : 10.1116/1.589002

]. R. Kunz99, T. M. Kunz, D. E. Bloomstein, and . Hardy, Outlook for 157 nm resist design, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.6, pp.3267-3272, 1999.
DOI : 10.1116/1.590993

]. M. Lieberman99, A. J. Lieberman, A. M. Lichtenberg, and . Marakhtanov, Instabilities in low-pressure inductive discharges with attaching gases, Applied Physics Letters, vol.75, issue.23, pp.3617-3619, 1999.
DOI : 10.1063/1.125406

]. K. Maex03, M. R. Maex, D. Baklanov, F. Shamiryan, S. H. Iacopi et al., Low dielectric constant materials for microelectronics, Journal of Applied Physics, vol.93, issue.11, pp.8793-8841, 2001.
DOI : 10.1063/1.1567460

]. G. Maier01 and . Maier, Low dielectric constant polymers for microelectronics, Progress in Polymer Science, vol.26, issue.1, pp.3-65, 2001.
DOI : 10.1016/S0079-6700(00)00043-5

]. A. Marakhtanov03, M. Marakhtanov, M. A. Tuszewski, A. J. Lieberman, P. Lichtenberg et al., Stable and unstable behavior of inductively coupled electronegative discharges, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.21, issue.6, pp.1849-1864, 2003.
DOI : 10.1116/1.1613953

]. P. Matsuo99, T. E. Matsuo, S. D. Standaert, G. S. Allen, T. J. Oehrlein et al., Characterization of Al, Cu, and TiN surface cleaning following a low-K dielectric etch, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.4, pp.1435-1447, 1999.
DOI : 10.1116/1.590772

]. T. Mayer82, R. A. Mayer, and . Barker, Simulation of plasma???assisted etching processes by ion???beam techniques, Journal of Vacuum Science and Technology, vol.21, issue.3, pp.757-763, 1982.
DOI : 10.1116/1.571821

]. K. Miyata96, M. Miyata, T. Hori, and . Goto, gases, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.14, issue.4, pp.2343-2350, 1996.
DOI : 10.1116/1.580020

]. C. Mogab78, A. C. Mogab, D. L. Adams, and . Flamm, plasmas, Journal of Applied Physics, vol.49, issue.7, pp.3796-3803, 1978.
DOI : 10.1063/1.325382

]. Y. Morikawa03, N. Morikawa, M. Mizutani, T. Ozawa, W. Hayashi et al., Etching characteristics of porous silica (k=1.9) in neutral loop discharge plasma, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.4, pp.1344-1349, 2003.
DOI : 10.1116/1.1587137

]. H. Nagai02, S. Nagai, M. Takashima, M. Hiramatsu, T. Hori et al., Behavior of atomic radicals and their effects on organic low dielectric constant film etching in high density N2/H2 and N2/NH3 plasmas, Journal of Applied Physics, vol.91, issue.5, pp.2615-2621, 2002.
DOI : 10.1063/1.1435825

]. T. Ogama89, T. Ogama, and . Horikawa, Estimation of film thickness from the background signal in x???ray photoemission spectroscopy, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.8, issue.3, pp.2204-2208, 1990.
DOI : 10.1116/1.577038

]. G. Owen92, R. F. Owen, D. A. Pease, A. Markle, R. L. Grenville et al., 1/8 ??m optical lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.10, issue.6, pp.3032-3036, 1992.
DOI : 10.1116/1.585966

]. J. Paraszczak87, E. Paraszczak, R. Babich, and . Mcgouey, The use of organosilicon polymers in multilayer plasma resist processing, Microelectronic Engineering, vol.6, issue.1-4, pp.453-460, 1987.
DOI : 10.1016/0167-9317(87)90073-6

]. G. Patsis00, A. Patsis, I. Tserepi, N. Raptis, E. Glezos et al., Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.6, pp.3292-3296, 2000.
DOI : 10.1116/1.1321281

]. G. Patsis03, V. Patsis, A. Constantoudis, E. Tserepi, G. Gogolides et al., Quantification of line-edge roughness of photoresists. I. A comparison between off-line and on-line analysis of top-down scanning electron microscopy images, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.3, pp.1008-1018, 2003.
DOI : 10.1116/1.1570845

]. S. Quases and . Tougaard, QUASES : Software Package for Quantitative XPS/AES of Surface Nanostructures by Peak Shape Analysis, Version 5, 2002.

]. A. Rahimi01, P. Rahimi, and . Shokrolahi, Application of inorganic polymeric materials, International Journal of Inorganic Materials, vol.3, issue.7, pp.843-847, 2001.
DOI : 10.1016/S1466-6049(01)00162-3

]. M. Rothschild03, T. M. Rothschild, T. H. Bloomstein, V. Fedynyshyn, W. Liberman et al., Fluorine???an enabler in advanced photolithography, Journal of Fluorine Chemistry, vol.122, issue.1, pp.3-10, 2003.
DOI : 10.1016/S0022-1139(03)00074-5

M. Rothschild, T. M. Bloomstein, J. E. Curtin, D. K. Downs, T. H. Fedynyshyn et al., 157 nm: Deepest deep-ultraviolet yet, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.17, issue.6, pp.3262-3266, 1999.
DOI : 10.1116/1.591137

]. W. Ruska and . Ruska, Microelectronic processing. An introduction to the manufacture of integrated circuits, Appl. Phys. Letters, vol.6, issue.8212, pp.1824-1826, 2003.

]. I. Satou99, K. Satou, M. Kuhara, H. Endo, and . Morimoto, Sub-0.10 ??m Hole Fabrication Using Bilayer Silylation Process for 193 nm Lithography, Japanese Journal of Applied Physics, vol.38, issue.Part 1, No. 12B, pp.7008-7012, 1999.
DOI : 10.1143/JJAP.38.7008

]. G. Schwartz83, P. M. Schwartz, and . Schaible, Reactive Ion Etching of Copper Films, Journal of The Electrochemical Society, vol.130, issue.8, pp.1777-1779, 1983.
DOI : 10.1149/1.2120092

]. M. Seah84, M. T. Seah, ]. D. Anthonyshamiryan02, M. R. Shamiryan, S. Baklanov et al., Quantitative XPS: The calibration of spectrometer intensity???energy response functions. 1???The establishment of reference procedures and instrument behaviour, Surface and Interface Analysis, vol.54, issue.5, pp.230-1923, 1984.
DOI : 10.1002/sia.740060506

]. C. Smith03, L. A. Smith, and . Moore, Properties and production of F-doped silica glass, Journal of Fluorine Chemistry, vol.122, issue.1, pp.81-86, 2003.
DOI : 10.1016/S0022-1139(03)00097-6

]. T. Standaert98a and . Standaert, High-Density Plasma Etching of Low Dielectric Constant Materials, MRS Proceedings, vol.16, pp.265-275, 1998.
DOI : 10.1063/1.339766

]. T. Standaert99, P. J. Standaert, S. D. Matsuo, G. S. Allen, T. J. Oehrlein et al., Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.17, issue.3, pp.741-748, 1999.
DOI : 10.1116/1.581643

]. C. Steinbrüchel95 and . Steinbrüchel, Patterning of copper for multilevel metallization: reactive ion etching and chemical-mechanical polishing, Applied Surface Science, vol.91, issue.1-4, pp.139-146, 1995.
DOI : 10.1016/0169-4332(95)00109-3

]. H. Sugai92, H. Sugai, and . Toyada, Appearance mass spectrometry of neutral radicals in radio frequency plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.10, issue.4, pp.1193-1200, 1992.
DOI : 10.1116/1.578226

]. M. Switkes01, M. Switkes, and . Rothschild, Immersion lithography at 157 nm, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.6, pp.2353-2356, 2001.
DOI : 10.1116/1.1412895

]. M. Switkes03, R. R. Switkes, M. Kunz, R. F. Rothschild, M. Sinta et al., Extending optics to 50 nm and beyond with immersion lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, pp.2794-2799, 2003.
DOI : 10.1116/1.1624257

E. Tegou, V. Bellas, E. Gogolides, P. Argitis, D. Eon et al., Polyhedral Oligomeric Silsesquioxane (POSS) Based Resists:?? Material Design Challenges and Lithographic Evaluation at 157 nm, Chemistry of Materials, vol.16, issue.13, pp.2567-2577, 2004.
DOI : 10.1021/cm035089x

]. M. Toriumi02b, T. Toriumi, T. Yamazaki, S. Furukawa, S. Irie et al., Fluoropolymer-based resists for a single-resist process of 157 nm lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.6, pp.2909-2912, 2002.
DOI : 10.1116/1.1526359

]. S. Tougaard84 and . Tougaard, Deconvolution of loss features from electron spectra, Surface Science, vol.139, issue.1, pp.208-218, 1984.
DOI : 10.1016/0039-6028(84)90017-7

]. S. Tougaard87a and . Tougaard, Inelastic background removal in x???ray excited photoelectron spectra from homogeneous and inhomogeneous solids, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.5, issue.4, pp.1230-1234, 1987.
DOI : 10.1116/1.574778

]. S. Tougaard87b, I. Tougaard, and . Chorkendorff, Differential inelastic electron scattering cross sections from experimental reflection electron-energy-loss spectra: Application to background removal in electron spectroscopy, Physical Review B, vol.35, issue.13, pp.6570-6577, 1987.
DOI : 10.1103/PhysRevB.35.6570

]. S. Tougaard88 and . Tougaard, Quantitative analysis of the inelastic background in surface electron spectroscopy, Surface and Interface Analysis, vol.14, issue.153, pp.453-472, 1988.
DOI : 10.1002/sia.740110902

]. S. Tougaard90, J. Tougaard, and . Electron, Inelastic background correction and quantitative surface analysis, Journal of Electron Spectroscopy and Related Phenomena, vol.52, pp.243-271, 1990.
DOI : 10.1016/0368-2048(90)85022-2

]. S. Tougaard96 and . Tougaard, Surface nanostructure determination by x???ray photoemission spectroscopy peak shape analysis, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.14, issue.3, pp.1415-1423, 1996.
DOI : 10.1116/1.579963

]. S. Tougaard97 and . Tougaard, Universality Classes of Inelastic Electron Scattering Cross-sections, Surface and Interface Analysis, vol.25, issue.3, pp.137-154, 1997.
DOI : 10.1002/(SICI)1096-9918(199703)25:3<137::AID-SIA230>3.0.CO;2-L

]. M. Tuszewski96 and . Tuszewski, An electronegative inductive discharge instability, Journal of Applied Physics, vol.79, issue.12, pp.8967-8975, 1996.
DOI : 10.1063/1.362666

]. C. Wagner00 and . Wagner, Solid State Technol, pp.97-108, 2000.

]. G. Wallraff99, W. D. Wallraff, and . Hinsberg, Lithographic Imaging Techniques for the Formation of Nanoscopic Features, Chemical Reviews, vol.99, issue.7, pp.1801-1821, 1999.
DOI : 10.1021/cr980003i

]. F. Watanabe86, Y. Watanabe, and . Ohnishi, Oxygen reactive ion etching of organosilicon polymers, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.4, issue.1, pp.422-425, 1986.
DOI : 10.1116/1.583347

]. J. Webb00 and . Webb, Laser Focus World, pp.87-92, 2000.

]. J. Williams97, T. P. Williams, and . Beebe, High-resolution algorithm for quantitative elemental depth profiling by angle-resolved x-ray photoelectron spectroscopy, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.15, issue.4, pp.2122-2133, 1997.
DOI : 10.1116/1.580618

]. R. Yih87, B. D. Yih, and . Ratner, A comparison of two angular dependent ESCA algorithms useful for constructing depth profiles of surfaces, Journal of Electron Spectroscopy and Related Phenomena, vol.43, issue.1, pp.61-62, 1987.
DOI : 10.1016/0368-2048(87)80019-1