. Bibliographie and . Adda, Eléments de métallurgie physique, p.412, 1987.

. Adda, Eléments de métallurgie physique, p.415, 1987.

. Adda, Eléments de métallurgie physique, volume 4 diffusion-transformation, 1987.

. Arnaud, Evidence of grain-boundary versus interface diffusion in electromigration experiments in copper damascene interconnects, Journal of Applied Physics, vol.93, issue.1, pp.192-204, 2003.
DOI : 10.1063/1.1527711

J. C. Barbé and T. Berger, Résultats internes. CEA LETI Analyse du comportement d'interconnexions damascènes en cuivre testées en électromigration, Thèse de doctorat, Institut National des Sciences Appliquées de Lyon, 2001.

. Besling, Continuity and morphology of TaN barriers deposited by Atomic Layer Deposition and comparison with physical vapor deposition, Microelectronic Engineering, vol.76, issue.1-4, pp.1-460, 2004.
DOI : 10.1016/j.mee.2004.07.015

J. R. Black, Electromigration failure modes in aluminum metallization for semiconductor devices, Proceedings of the IEEE, pp.1587-1594, 1969.
DOI : 10.1109/PROC.1969.7340

I. A. Blech, Electromigration in thin aluminum films on titanium nitride, Journal of Applied Physics, vol.47, issue.4, pp.1203-1208, 1976.
DOI : 10.1063/1.322842

. Burton, . Greenwood, B. Burton, and G. W. Et-greenwood, The Contribution of Grain-Boundary Diffusion to Creep at Low Stresses, Metal Science Journal, vol.230, issue.1, p.215, 1970.
DOI : 10.1103/PhysRev.96.1224

C. Cayron-et-guillaumond-]-cayron and J. F. Et-guillaumond, Étude par ebsd de la microstructure des lignes d'interconnexions en cu pour la compréhension des mécanismes d'électromigration : faisabilité, 2005.

R. Chambers, The Conductivity of Thin Wires in a Magnetic Field, Proc. Roy. Soc. A, p.378, 1950.
DOI : 10.1098/rspa.1950.0107

. Chapelon, Characterization and integration of a CVD porous SiOCH (k<2.5) with enhanced mechanical properties for 65 nm CMOS interconnects and below, Microelectronic Engineering, vol.76, issue.1-4, pp.1-41, 2004.
DOI : 10.1016/j.mee.2004.07.012

J. J. Clement, Reliability analysis for encapsulated interconnect lines under dc and pulsed dc current using a continuum electromigration transport model, Journal of Applied Physics, vol.82, issue.12, pp.825991-6000, 1997.
DOI : 10.1063/1.366464

J. J. Clement, Electromigration modeling for integrated circuit interconnect reliability analysis, IEEE Transactions on Device and Materials Reliability, vol.1, issue.1, pp.33-42, 2001.
DOI : 10.1109/7298.946458

C. Et-thompson-]-clement, J. J. Et-thompson, and C. V. , Modeling electromigration???induced stress evolution in confined metal lines, Journal of Applied Physics, vol.78, issue.2, pp.900-904, 1995.
DOI : 10.1063/1.360281

K. Fuchs, The conductivity of thin mettalic films according to the electron theory of metals, Proc. Camb, p.100, 1938.

G. Et-kozaczek-]-gittleman, B. Et-kozaczek, and K. , Report on 90 nm structures -6 pattern for electromigration studies, 2005.

. Gosset, Integration and performances of an alternative approach using copper silicide as a self-aligned barrier for 45 nm technology node Cu interconnects, Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No.04TH8729), pp.15-17, 2004.
DOI : 10.1109/IITC.2004.1345667

J. F. Guillaumond, Etude des interconnexions ultra minces : Electromigration et résistivité électrique, 2002.

. Guillaumond, Analysis of resistivity in nano-interconnect: full range (4.2-300 K) temperature characterization, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695), 2002.
DOI : 10.1109/IITC.2003.1219733

D. Gupta, Diffusion in several materials relevant to Cu interconnection technology, Materials Chemistry and Physics, vol.41, issue.3, pp.199-205, 1995.
DOI : 10.1016/0254-0584(95)01514-0

P. Guyader, Characterisation of porous low k dielectric (orion) hc- mos10. Rapport technique, pp.4-1594, 2004.

. Hau-riege, The effect of interlevel dielectric on the critical tensile stress to void nucleation for the reliability of Cu interconnects, Journal of Applied Physics, vol.96, issue.10, pp.965792-5796, 2004.
DOI : 10.1063/1.1787139

S. P. Hau-riege-et-thompson-hau-riege and C. V. Et-thompson, The effects of the mechanical properties of the confinement material on electromigration in metallic interconnects, journal of materials science, issue.8, pp.151797-1802, 2000.

. Higashi, Highly reliable pvd/ald/pvd stacked barrier metal structure for 45-nm node copper dual-damascene interconnects. Interconnect Technology Conference, Proceedings of the IEEE 2004 International, pp.6-8, 2004.
DOI : 10.1109/iitc.2004.1345664

. Ho, Effect of low k dielectrics on electromigration reliability for Cu interconnects, Materials Science in Semiconductor Processing, vol.7, issue.3, pp.157-163, 2004.
DOI : 10.1016/j.mssp.2004.06.005

. Hu, Electromigration of Cu/low dielectric constant interconnects, Microelectronics Reliability, vol.46, issue.2-4, 2005.
DOI : 10.1016/j.microrel.2005.05.015

. Hu, Reduced electromigration of Cu wires by surface coating, Applied Physics Letters, vol.81, issue.10, pp.811782-1784, 2002.
DOI : 10.1063/1.1504491

. Hu, Atom motion of Cu and Co in Cu damascene lines with a CoWP cap, Applied Physics Letters, vol.84, issue.24, pp.4986-4988, 2004.
DOI : 10.1063/1.1762991

. Hu, Electromigration path in Cu thin-film lines, Applied Physics Letters, vol.74, issue.20, pp.742945-2947, 1999.
DOI : 10.1063/1.123974

H. Et-grone-]-huntington, H. B. Et-grone, and A. R. , Current-induced marker motion in gold wires, Journal of Physics and Chemistry of Solids, vol.920, issue.12, pp.76-87, 1961.

. Joulaud, Electroless nimo-p films as capping layers for copper interconnects, 2004.

. Korhonen, Stress evolution due to electromigration in confined metal lines, Journal of Applied Physics, vol.73, issue.8, pp.733790-3799, 1993.
DOI : 10.1063/1.354073

. Kuan, Fabrication and performance limits of sub-0.1 microm cu interconnects, Mta. Res. Soc. symp. Proc., D7.1, p.612, 2000.

. Lane, Relationship between interfacial adhesion and electromigration in Cu metallization, Journal of Applied Physics, vol.93, issue.3, pp.931417-1421, 2003.
DOI : 10.1063/1.1532942

D. R. Lide, Handbook of chemistry and physics, 1995.

. Liniger, Effect of liner thickness on electromigration lifetime, Journal of Applied Physics, vol.93, issue.12, pp.939576-9582, 2003.
DOI : 10.1063/1.1575497

. Lloyd, Relationship between interfacial adhesion and electromigration in Cu metallization, IEEE International Integrated Reliability Workshop Final Report, 2002., pp.32-35, 2002.
DOI : 10.1109/IRWS.2002.1194228

. Lustig, Damascene copper line resistance analysis, 2005.

. Mayadas, . Shatzkes, A. F. Mayadas, and M. Et-shatzkes, Electrical-Resistivity Model for Polycrystalline Films: the Case of Arbitrary Reflection at External Surfaces, Physical Review B, vol.1, issue.4, p.1382, 1970.
DOI : 10.1103/PhysRevB.1.1382

J. Philibert, Diffusion et transport de matière dans les solides, 1986.

L. Shatzkes, M. Shatzkes, and J. R. Et-lloyd, A model for conductor failure considering diffusion concurrently with electromigration resulting in a current exponent of 2, Journal of Applied Physics, vol.59, issue.11, pp.593890-3893, 1986.
DOI : 10.1063/1.336731

. Solomentsev, Effects of process variability on line resistance for copper interconnects, 2005.

E. H. Sondheimer, The mean free path of electrons in metals Advances in Physics, 1952.

. Steinhogl, Size-dependent resistivity of metallic wires in the mesoscopic range, Physical Review B, vol.66, issue.7, p.66075414, 2002.
DOI : 10.1103/PhysRevB.66.075414

. Steinhogl, Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller, Journal of Applied Physics, vol.97, issue.2, p.23706, 2005.
DOI : 10.1063/1.1834982

. Steinlesberger, Electrical assessment of copper damascene interconnects down to sub-50 nm feature sizes, Microelectronic Engineering, vol.64, issue.1-4, pp.1-4409, 2002.
DOI : 10.1016/S0167-9317(02)00815-8

. Surholt, kinetic regimes, Physical Review B, vol.50, issue.6, pp.503577-3587, 1994.
DOI : 10.1103/PhysRevB.50.3577

. Tonegawa, Suppression of bimodal stress-induced voiding using high-diffusive dopant from Cu-alloy seed layer, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695), pp.216-218, 2003.
DOI : 10.1109/IITC.2003.1219758

K. N. Tu, Recent advances on electromigration in very-large-scale-integration of interconnects, Journal of Applied Physics, vol.94, issue.9, pp.5451-5473, 2003.
DOI : 10.1063/1.1611263

. Vairagar, observation of electromigration-induced void migration in dual-damascene Cu interconnect structures, Applied Physics Letters, vol.85, issue.13, pp.852502-2504, 2004.
DOI : 10.1063/1.1795978

. Wang, Electrical properties of TaN???Cu nanocomposite thin films, Ceramics International, vol.30, issue.7, pp.301879-1883, 2004.
DOI : 10.1016/j.ceramint.2003.12.051

. Wu, Influence of surface and grain-boundary scattering on the resistivity of copper in reduced dimensions, Applied Physics Letters, vol.84, issue.15, pp.2838-2840, 2004.
DOI : 10.1063/1.1703844

J. F. Guillaumond, L. Arnaud, C. Guedj, V. Arnal, W. F. Besling et al., Identification of diffusion paths in cu / porous low k interconnect, Dupeux et J.Torres, International reliability Physics Symposium(IRPS), 2005.

J. F. Guillaumond, L. Arnaud, T. Mourier, M. Fayolle, O. Pesci et al., Analysis of resistivity in nano-interconnect : full range (4.2-300 K) temperature charac- terization, International Interconnect Technology Conference (IITC), pp.2-4, 2003.

C. For, I. Of-future, L. Cmos-generations-arnal, W. Gosset, A. Besling et al., Implementation of Emerging Processes and Alternative Architectures, J.F. Guillaumond et J. Torres, 2005.

W. F. Besling, V. Arnal, J. F. Guillaumond, C. Guedj, M. Broekaart et al., Integration of ALD TaN barriers in porous low-k interconnect for the 45 nm node and beyond; solution to relax electron scattering effect, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004., pp.13-15, 2004.
DOI : 10.1109/IEDM.2004.1419146

U. Cu-interconnects, C. Guedj, L. Arnaud, M. Fayolle, V. Jousseaume et al., Effect of pore sealing on the reliability of

G. Toffoli, D. Reimbold, and . Bouchu, Interconnect Technology Conference, Proceedings of the IEEE, pp.148-150, 2004.

L. G. Gosset, V. Arnal, S. Chhun, N. Casanova, M. Mellier et al., Integration and characterization of a self-aligned barrier to Cu diffusion based on copper silicide, Arnaud et J. Torres, Advanced Metallization Conference, 2003.