A. , S. A. Akhamanov, N. I. Koroteev, and I. L. Shumay, Non linear diagnostics of laser-excited surfaces, 1989.

[. Von-allmen, Laser-Beam Interactions with Materials, Physical Principles and Applications, Series in Materials Science, 1987.

. [. Alquier, Jonctions Ultra-Minces p + /n : modélisation, réalisation et caractérisation, Thèse de doctorat, 1998.

. [. Alshehri, Development of full silicidation (fusi) process for nickel silicide. 22th Annual Microelectronic Eng, Conf, p.52, 2004.

[. , S. Krishnan, J. J. Felten, and D. L. Price, Structure of supercooled liquid silicon, J. Phys. : Condens. Matter, vol.10, p.73, 1998.

. [. Armstrong, The Diffusivity of Arsenic in Silicon, Journal of The Electrochemical Society, vol.109, issue.11, p.1065, 1962.
DOI : 10.1149/1.2425237

P. [. Arronte, R. Neves, and . Vilar, Modeling of laser cleaning of metallic particulate contaminants from silicon surfaces, Journal of Applied Physics, vol.92, issue.12, p.6973, 2002.
DOI : 10.1063/1.1513190

[. Auberton-herve, Etude de la faisabilité d'unefilì ere CMOSmicronique sur silicium sur isolant, Thèse de doctorat, 1986.

M. J. Aziz and T. Kaplan, Continuous growth model for interface motion during alloy solidification, Acta Metallurgica, vol.36, issue.8, p.2335, 1988.
DOI : 10.1016/0001-6160(88)90333-1

N. [. Azzam and . Bashara, Ellipsometry and Polarized Light, 1986.

J. [. Baboux, G. Dupuy, P. Prudon, F. Holliger, A. M. Laugier et al., Ultra-low energy SIMS analysis of boron deltas in silicon, Journal of Crystal Growth, vol.245, issue.1-2
DOI : 10.1016/S0022-0248(02)01643-3

S. [. Baeri, G. Campisano, E. Foti, and . Rimini, A melting model for pulsing???laser annealing of implanted semiconductors, Journal of Applied Physics, vol.50, issue.2, p.788, 1979.
DOI : 10.1063/1.326046

M. [. Bauer, M. Oehme, G. Sauter, E. Eifler, and . Kasper, Time resolved reflectivity measurements of silicon solid phase epitaxial regrowth, Thin Solid Films, vol.364, issue.1-2, p.228, 2000.
DOI : 10.1016/S0040-6090(99)00934-7

. F. Bernoux, J. Bernoux, B. Piel, . Castellon, J. Defranoux et al., Ellipsométrie : Instrumentation et applications, pp.6-491

?. ???-références-bibliographiques-[-bertrand04-]-i, J. Bertrand, P. Dilhac, and . Renaud, Recristallisation en phase liquide de filmsépaisfilmsépais de poly-silicium pour la réalisationréalisationà faible coût de circuits de puissance sur soi partiel, VIIèmesVII`VIIèmes Journées Nationales du Réseau Doctoral de Microélectronique JNRDM 04, p.229, 2004.

[. Besi-vetrella, E. Salza, L. Pirozzi, S. Noel, A. Slaoui et al., Selective doping of silicon by rapid thermal and laser assisted processes, Materials Science in Semiconductor Processing, vol.1, issue.3-4, p.325, 1998.
DOI : 10.1016/S1369-8001(98)00026-2

. [. Birikh, Thermocapillary convection in a horizontal layer of liquid, Journal of Applied Mechanics and Technical Physics, vol.7, issue.3, p.43, 1966.
DOI : 10.1007/BF00914697

D. Blin, Croissance et propriétés de films minces de HfO2 déposés par Atomic Layer Deposition pour des applications microélectroniques, Thèse de doctorat, 2003.

. [. Bonnet, Caractérisation de la diffusion latérale etévaluationetévaluation du recuit laser pour l'optimisation du dopage des transistors MOS, Thèse de doctorat, 1983.

. [. Borland, Low temperature shallow junction formation for 70 nm technology node and beyond, Mat. Res. Soc. Symp, vol.717, pp.1-1, 2002.

M. Born and E. Wolf, Principles of Optics, Electromagnetic Theory of Propagation Interference and Diffraction of Light, sixth edition, 1989.

. [. Boucard, Modélisation de la diffusion des dopants dans le silicium pour la réalisation de jonctions fines, Thèse de doctorat, 2003.

J. [. Feldmann and . Mayer, Laser Processing of Thin Films and Microstructures, Series in Materials Science, 1987.

E. [. Bracht, R. Haller, and . Clark-phelps, Silicon Self-Diffusion in Isotope Heterostructures, Physical Review Letters, vol.81, issue.2, p.393, 1998.
DOI : 10.1103/PhysRevLett.81.393

. [. Branly, Chaine d'amplification régénératrice XeCl : Algorithnme de dimensionnement , réalisation et application, Thèse de doctorat, 1999.

C. [. Bussmann and . Williams, Sub-10 nm lateral spatial resolution in scanning capacitance microscopy achieved with solid platinum probes, Review of Scientific Instruments, vol.75, issue.2, p.422, 2004.
DOI : 10.1063/1.1641161

. [. Campisano, Non-equilibrium dopants incorporation in silicon melted by laser pulses, Applied Physics A Solids and Surfaces, vol.1, issue.183, p.195, 1982.
DOI : 10.1007/BF00614767

A. [. Cao, W. S. Faghri, and . Chang, A numerical analysis of Stefan problems for generalized multi-dimensional phase-change structures using the enthalpy transforming model, International Journal of Heat and Mass Transfer, vol.32, issue.7, p.321289, 1989.
DOI : 10.1016/0017-9310(89)90029-X

K. [. Carey, T. W. Bezjian, P. Sigmon, T. J. Gildea, and . Magee, Fabrication of submicrometer MOSFET's using gas immersion laser doping (GILD), IEEE Electron Device Letters, vol.7, issue.7
DOI : 10.1109/EDL.1986.26429

?. Cerva and G. Hobler, Comparison of Transmission Electron Microscope Cross Sections of Amorphous Regions in Ion Implanted Silicon with Point-Defect Density Calculations, Journal of The Electrochemical Society, vol.139, issue.12, p.3631, 1992.
DOI : 10.1149/1.2069134

H. S. Chao, P. B. Griffin, J. D. Plummer, and C. S. Rafferty, The dose, energy, and time dependence of silicon self???implantation induced transient enhanced diffusion at 750?????C, Applied Physics Letters, vol.69, issue.14, p.692113, 1996.
DOI : 10.1063/1.116897

T. P. Chow, A. J. Steckl, L. A. Christel, J. F. Gibbons, and S. Mylroie, A critique of refractory gate applications for mos vlsi. VLSI Electronics, Microstructure science An application of the boltzmann transport equation to ion range and damage distributions in multilayered targets Nucleation, growth and dissolution of extended defects in implanted si : impact on dopant diffusion, COLINGE91] J. Colinge. Silicon-On-Insulator Technology : Materials to VLSI. edited by Kluwer academic publishers M. Van Berkum . Characterization of low-energy (100ev-10kev) boron ion implantation, p.376176, 1980.

J. Vac, . D. Sciconnell01-]-a, S. Mcconnell, K. E. Uma, J. R. Goodson et al., Thermal conductivity of doped polysilicon layers. J. of Microelectrochemical systems Plasma source ion-implantation technique for surface modification of materials Ion-induced defects in semiconductors Fabrication of p+/n ultra shallow junction (usj) in silicon by excimer laser doping from spin-on glass sources Energetics of self-interstitial clusters in si Heat of crystallization and melting point of amorphous silicon Transport properties of laser generated non-equilibrium plasmas in semiconductors Some observations on the amorphous to crystalline transformation in silicon, Infrared spectroscopic ellipsometry applied to the characterization of ultra shallow junction on silicon and soi. International Conference of Spectroscopic Ellipsometry 2003 (ICSE 03), pp.280-3604591457, 1981.

?. Références-bibliographiques-[-duhanyan98-]-n and . Duhanyan, Etude des ségrégationssolutalességrégationssolutalesà l'interieur de l'alliage Ga(1-x)InxSb encours de solidification directionnelle en front plan suivant la méthode de Bridgman, Thèse de doctorat, 1998.

. [. Dusch, Modélisation de la diffusion accéléréé et transitoire du bore implantéplantéà bassé energie dans les dispositifs submicroniques silicium, Thèse de doctorat, 2002.

P. [. Eaglesham, H. Stolk, J. M. Gossmann, and . Poate, Implantation and transient B diffusion in Si: The source of the interstitials, Applied Physics Letters, vol.65, issue.18
DOI : 10.1063/1.112725

. [. Eberhart, Analyse structurale et chimique des matériaux, 1997.

J. [. Ehrlich and . Tsao, Laser Microfabrication, Thin Film Processes and Lithography, 1989.

C. [. El-farhane, F. Laviron, N. Cristiano, P. Cherkashin, M. Morin et al., Solid Phase Epitaxy process integration on 50-nm PMOS devices: Effects of defects on chemical and electrical characteristics of ultra shallow junctions, MRS Proceedings, vol.20, pp.1-4, 2004.
DOI : 10.1063/1.1483383

S. [. Fiory, S. Chawda, V. R. Madishetty, N. M. Mehta, S. P. Ravindra et al., Transient-enhanced diffusion in shallow-junction formation, Journal of Electronic Materials, vol.38, issue.10
DOI : 10.1007/s11664-002-0034-6

]. A. Fiory02r and . Fiory, Recent developments in rapid thermal processing, J. Elec. Materials, issue.10, p.31981, 2002.

M. A. Foad and D. Jennings, Formation of ultra-shallow junctions by ion implantation and rta. Solid-State Technology, p.43, 1998.

B. [. Fogarassy, S. Prevot, C. De-unamuno, D. Prat, Y. Zahorski et al., Long-Pulse Duration Excimer Laser Processing in the Fabrication of High Performance Polysilicon TFTs for Large Area Electronics, MRS Proceedings, vol.35, 2001.
DOI : 10.1117/12.377024

C. [. Fogarassy, S. Fuchs, P. De-unamuno, and . Siffert, Excimer laser induced melting of heavily doped silicon: A contribution to the optimization of the laser doping process, Applied Surface Science, vol.43, issue.1-4, p.316, 1989.
DOI : 10.1016/0169-4332(89)90232-8

. [. Foulon, Dopage photoassisté par laser excimère du silicium placé sous atmosphère de gaz dopant, Thèse de doctorat, 1989.

M. S. Fuchs, Optical properties of liquid silicon: the integral equation approach, Journal of Physics: Condensed Matter, vol.12, issue.19, p.124341, 2000.
DOI : 10.1088/0953-8984/12/19/305

?. S. Fuller and J. A. Ditzenberger, Diffusion of Donor and Acceptor Elements in Silicon, Journal of Applied Physics, vol.27, issue.5, p.544, 1956.
DOI : 10.1063/1.1722419

K. [. Gable, M. E. Jones, L. S. Law, S. Robertson, and . Talwar, Electrical and structural characterization of boron implanted silicon following laser thermal processing, Mat. Res. Soc, vol.717, pp.1-10, 2002.

. [. Gautier, Etude de la résolution en profondeur lors de l'analyse par spéctrométrie de masse des ions secondaires Détermination de la fonction de résolution pour le bore dans le silicium, mise au point d'une procédure de déconvolution et applications, Thèse de doctorat, Institut National des Sciences Appliquées de Lyon, 1997.

M. Gerl and J. Issi, Physique des matériaux, Traité des Matériaux, vol.8, 1997.

P. [. Godard, M. X. Murer, J. C. Stehle, D. R. Bonnet, and . Pigache, <title>Improvement of the first kilowatt XeCl laser for different specific applications</title>, High-Power Gas and Solid State Lasers, p.25, 1994.
DOI : 10.1117/12.184587

M. A. Green and M. W. Gunn, The evaluation of geometrical effects in four point probe measurements. Solid State Elec, p.1167, 1971.

M. Yaser, . Haddara, T. Brennan, M. E. Folmer, T. Law et al., Accurate measurements of the intrinsic diffusivities of boron and phosphorus in silicon, Appl. Phys. Lett, issue.13, p.771976, 2000.

[. Hammad and D. K. Schroder, Analytical modeling of the partiallydepleted soi mosfet, IEEE Trans. Electron Devices, vol.248, issue.2, p.252, 2001.

. [. Hébras, ContributionáContributioná l'´ etude de la diffusion, de l'agglomération et de l'activation du bore dans le silicium : ApplicationáApplicationá la réalisation de jonctions ultraminces P + /N pour le MOS fortement submicronique, Thèse de doctorat, 2003.

D. [. Hernandez, J. Zahorski, D. Boulmer, G. Debarre, T. Kerrien et al., Laser thermal processing (ltp) for ultra-shallow junction formation : numerical simulation and comparison with experiments, App. Surf. Sci, pp.208-209345, 2002.

T. [. Hernandez, D. Sarnet, J. Debarre, G. Boulmer, C. Kerrien et al., Ultra-shallow junction formation by gas immersion laser doping (gild) on silicon bulk and soi substrate, p.145, 2003.

J. [. Hernandez, D. Venturini, G. Berard, T. Kerrien, J. Sarnet et al., Laser thermal processing using an optical coating for ultra shallow junction formation, Materials Science and Engineering: B, vol.114, issue.115
DOI : 10.1016/j.mseb.2004.07.092

. [. Herzberg, Spectra of diatomic molecules, 1950.

G. [. Hobler and . Otto, Status and open problems in modeling of asimplanted damage in silicon, Mat. Sci. Semicond. Proc, vol.6, issue.1, 2003.

?. K. Références-bibliographiques-[-hofker75-]-w, D. P. Hofker, N. J. Oosthoek, and . Koeman, Concentration profiles of boron implantations in amorphous and polycrystalline si, Radiation Effects, vol.24, p.223, 1975.

. [. Holm, Electric contacts, 1967.
DOI : 10.1007/978-3-662-06688-1

[. Itrs, The international technology roadmap for semiconductor, 2003.

W. [. Jain, R. Schoemaker, P. A. Lindsay, S. Stolk, M. Decoutere et al., Transient enhanced diffusion of boron in Si, Journal of Applied Physics, vol.91, issue.11, p.918919, 2002.
DOI : 10.1063/1.1471941

[. Jin, J. Liu, U. Jeong, and S. Mehta, Study of reverse annealing behaviors of p[sup +]/n ultrashallow junction formed using solid phase epitaxial annealing, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.1
DOI : 10.1116/1.1424279

E. [. Jones and . Ishida, Shallow junction doping technologies for ULSI, Materials Science and Engineering: R: Reports, vol.24, issue.1-2, 1998.
DOI : 10.1016/S0927-796X(98)00013-8

M. [. Kerrien, C. Hernandez, T. Laviron, D. Sarnet, T. Débarre et al., Optical characterization of laser processed ultra-shallow junctions, Applied Surface Science, vol.208, issue.209, pp.208-209277, 2002.
DOI : 10.1016/S0169-4332(02)01354-5

]. G. Kerrien04a, T. Kerrien, D. Sarnet, J. Debarre, M. Boulmer et al., Gas immersion laser goping (gild) for ultra-shallow junction formation, Thin Solid Films, pp.453-454106, 2004.

[. Koo, Z. Fang, M. Bakshi, L. Nicolaides, and S. Cherekdjian, Plasma doping junction depth measurement using therma-probe system, Ion Implantation Technology, 2002.

E. [. Kujurai, S. Murakami, and . Kimura, Ultra-Shallow and Abrupt Boron Profiles in Si by ??-Doping Technique, Japanese Journal of Applied Physics, vol.34, issue.Part 1, No. 2B, p.782, 1995.
DOI : 10.1143/JJAP.34.782

V. [. Lampin and . Senez, Modeling of the kinetics of dislocation loops, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.147, issue.1-4, p.13, 1999.
DOI : 10.1016/S0168-583X(98)00599-0

]. L. Lampin99a, V. Lampin, A. Senez, and . Claverie, Modeling of the transient enhanced diffusion of boron implanted into preamorphized silicon, Journal of Applied Physics, vol.85, issue.12, p.8137, 1999.
DOI : 10.1063/1.370652

S. [. Landi, S. Guimaraes, and . Solmi, Influence of nucleation on the kinetics of boron precipitation in silicon, Applied Physics A Solids and Surfaces, vol.57, issue.2, p.135, 1987.
DOI : 10.1007/BF00626414

M. [. Laviron, D. Séméria, M. Zahorski, M. Stehlé, J. Hernandez et al., Excimer-laser activation of dopants in silicon: a new concept for a uniform treatment over a whole die area, Extended Abstracts of the Second International Workshop on Junction Technology. IWJT. (IEEE Cat.No.01EX541C), pp.6-7, 2001.
DOI : 10.1109/IWJT.2001.993835

M. E. Law, Process modeling for future technologies, IBM Journal of Research and Development, vol.46, issue.2.3, p.339, 2002.
DOI : 10.1147/rd.462.0339

A. [. Lenoble, O. Halimaoui, Y. Kermarrec, D. Campidelli, J. Bensahel et al., Integration of ultra-shallow junctions in sub-0.1 µm cmos transistors : What kind of process for a " safe, p.36, 2001.

A. [. Lenoble, F. Grouillet, T. Boeuf, D. Skotnicki, J. Hacker et al., Fabrication of 60-nm plasma doped CMOS transistors, Ion Implantation Technology. 2002. Proceedings of the 14th International Conference on, p.36, 2002.
DOI : 10.1109/IIT.2002.1257932

M. [. Lenoble, S. Goeckner, Z. Felch, J. Fang, A. Galvier et al., Evaluation of plasma doping for sub-0,18 µm devices, p.0, 1999.

B. [. Lenosky, S. K. Sadigh, M. Theiss, T. Caturla, and R. Diaz-de-la, Ab initio energetics of boron-interstitial clusters in crystalline Si, Applied Physics Letters, vol.77, issue.12
DOI : 10.1063/1.1310627

. [. Leroy, Silicon wafers for integrated circuit process, Revue de Physique Appliqu??e, vol.21, issue.8, p.467, 1986.
DOI : 10.1051/rphysap:01986002108046700

URL : https://hal.archives-ouvertes.fr/jpa-00245465

S. [. Liebert, S. B. Walther, Z. Felch, B. W. Fang, D. Koo et al., Plasma doping as a tool for the fabrication of ultra-shallow junction. IEEE The first international workshop on Junction Technology, p.23, 2000.

K. [. Lindfors, M. Jones, and . Rendon, Boron Solubility Limits Following Low Temperature Solid Phase Epitaxial Regrowth, MRS Proceedings, vol.610, pp.8-13, 2001.
DOI : 10.1063/1.325397

M. [. Lindhard, H. E. Scharff, and . Schiott, Range concepts and heavy ion ranges, Mat. Phys. Medd, vol.33, issue.14, p.54, 1963.

B. [. Lindsay, P. Pawlak, K. Stolk, and . Maex, Optimisation of Junctions formed by Solid Phase Epitaxial Regrowth for sub-70nm CMOS, MRS Proceedings, vol.20, pp.2-3, 2002.
DOI : 10.1557/PROC-717-C2.1

K. [. Listebarger, J. A. Jones, and . Slinkman, Use of type ii (eor) damage as " detector " for quantifying interstitial fluxes in ion-implanted silicon, J. Appl. Phys, issue.10, p.734815, 1993.

. [. Lojek, Early history of rapid thermal processing, RTP'99 conference, 1999.

S. [. Luo, P. Yang, M. O. Clancy, and . Thompson, Deactivation kinetics of supersaturated boron:silicon alloys, Journal of Applied Physics, vol.90, issue.5, p.2262, 2001.
DOI : 10.1063/1.1385360

J. [. Luttmann, C. Stehle, J. Defranoux, and . Piel, High accuracy IR ellipsometer working with a Ge Brewster angle reflection polarizer and grid analyzer, Thin Solid Films, vol.313, issue.314, pp.313-314631, 1998.
DOI : 10.1016/S0040-6090(97)00969-3

[. Magna, P. Alippi, V. Privitera, G. Fortunato, M. Camalleri et al., A phase-field approach to the simulation of the excimer laser annealing process in Si, Journal of Applied Physics, vol.95, issue.9, p.954806, 2004.
DOI : 10.1063/1.1690861

. [. Mancina, Amélioration de la résolution en profondeur de l'analyse SIMS par déconvolution : algorithmes spécifiques et application aux couches ??? Références bibliographiques dopées ultra-minces de la micro-´ electronique silicium, Thèse de doctorat, Institut National des Sciences Appliquées de Lyon, 2001.

A. [. Marmorstein, R. Voutsas, and . Solanki, A systematic study and optimization of parameters affecting grain size and surface roughness in excimer laser annealed polysilicon thin films, Journal of Applied Physics, vol.82, issue.9, p.824303, 1997.
DOI : 10.1063/1.366238

L. [. Mayer, J. A. Lennart, and . Davies, Ion Implantation in Semiconductor, 1970.

W. [. Michel, P. A. Raush, and R. H. Ronsheim, Rapid annealing and the anomalous diffusion of ion implanted boron into silicon, Applied Physics Letters, vol.50, issue.7, p.50416, 1987.
DOI : 10.1063/1.98160

. [. Minondo, Préamorphisation du silicium par l'ion germanium et formation de jonctions ultra-fines P +, Thèse de doctorat, INPG Grenoble, 1994.

. [. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, p.114, 1965.
DOI : 10.1109/JPROC.1998.658762

A. [. Muller and . Ostrogorsky, Convection in Melt Growth, J. Huler in Handbook of Crystal Growth, vol.2, 1994.

. [. Murarka, Refractory silicides for integrated circuits, Journal of Vacuum Science and Technology, vol.17, issue.4, p.775, 1980.
DOI : 10.1116/1.570560

A. [. Nobili, G. Carabelas, S. Celotti, and . Solmi, Precipitation as the phenomena responsible for the electrically inactive arsenic in silicon, Journal of the Electrochemical Society, vol.130, issue.4, p.992, 1983.

R. [. Nobili, A. Angelucci, E. Armigliato, S. Landi, and . Solmi, Equilibrium Carrier Density and Solubility of Antimony in Silicon, Journal of The Electrochemical Society, vol.136, issue.4, p.1142, 1989.
DOI : 10.1149/1.2096808

. Palik, Handbook of Optical, Constants and Solids, 1998.

R. [. Pawlak, R. Lindsay, B. Surdeanu, L. Dieu, I. Geenen et al., Chemical and electrical dopants profile evolution during solid phase epitaxial regrowth, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.1, p.297, 2004.
DOI : 10.1116/1.1643053

G. [. Pelaz, H. Gilmer, C. S. Gossmann, M. Rafferty, J. Jaraiz et al., B cluster formation and dissolution in Si: A scenario based on atomistic modeling, Applied Physics Letters, vol.74, issue.24, p.743657, 1999.
DOI : 10.1063/1.123213

K. [. Ravindra, S. Ravindra, B. Mahendra, A. T. Sopori, and . Fiory, Modeling and simulation of emissivity of silicon-related materials and structures, Journal of Electronic Materials, vol.25, issue.10, p.321052, 2003.
DOI : 10.1007/s11664-003-0088-0

?. Roseler, Infrared Spectroscopic Ellipsomery, 1990.

. [. Rousseau, Activation and Deactivation of Arsenic in Silicon, 1996.

V. [. Schroer, F. Privitera, E. Priolo, A. Napolitani, and . Carnera, Clustering of ultra-low-energy implanted boron in silicon during postimplantation annealing, Applied Physics Letters, vol.74, issue.26, p.743996, 1999.
DOI : 10.1063/1.123239

K. [. Shikida, K. Sato, D. Tokoro, and . Uchikawa, Comparison of anisotropic etching properties between KOH and TMAH solutions, Technical Digest. IEEE International MEMS 99 Conference. Twelfth IEEE International Conference on Micro Electro Mechanical Systems (Cat. No.99CH36291), p.315, 1999.
DOI : 10.1109/MEMSYS.1999.746845

F. [. Solmi, R. Baruffaldi, and . Canteri, Diffusion of boron in silicon during post???implantation annealing, Journal of Applied Physics, vol.69, issue.4, p.2135, 1991.
DOI : 10.1063/1.348740

A. [. Solmi, R. Parisini, A. Angelucci, D. Armigliato, L. Nobili et al., Dopant and carrier concentration in Si in equilibrium with monoclinic SiP precipitates, Physical Review B, vol.53, issue.12, p.537836, 1996.
DOI : 10.1103/PhysRevB.53.7836

V. [. Spinella, F. L. Raineri, S. U. Via, and . Campisano, Two-dimensional junction profiling by selective chemical etching: Applications to electron device characterization, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.1, p.414, 1996.
DOI : 10.1116/1.588485

E. [. Stuck, J. C. Fogarassy, M. Muller, A. Hodeau, P. Wattiaux et al., Laser???induced diffusion by irradiation of silicon dipped into an organic solution of the dopant, Applied Physics Letters, vol.38, issue.9, p.715, 1981.
DOI : 10.1063/1.92490

S. [. Taflove and . Hagness, Computational Electrodynamics : The Finite-Difference Time-Domain Method, 2000.

S. [. Takamura, P. B. Jain, J. D. Griffin, and . Plummer, Thermal stability of dopants in laser annealed silicon, Journal of Applied Physics, vol.92, issue.1, p.230, 2002.
DOI : 10.1063/1.1481975

]. Y. Takamura02b, A. Takamura, A. F. Vailionis, P. B. Marshall, J. D. Griffin et al., Dopant deactivation in heavily Sb doped Si (001): A high-resolution x-ray diffraction and transmission electron microscopy study, Journal of Applied Physics, vol.92, issue.9, p.5503, 2002.
DOI : 10.1063/1.1510953

. [. Takamura, Thermal stability of dopants in laser annealed silicon, Journal of Applied Physics, vol.92, issue.1, 2003.
DOI : 10.1063/1.1481975

W. [. Tam, W. Leung, W. Zapka, and . Ziemlich, Laser???cleaning techniques for removal of surface particulates, Journal of Applied Physics, vol.71, issue.7, p.713515, 1992.
DOI : 10.1063/1.350906

. [. Tavel, Intégration de diélectrique haute permittivité et de grilles métalliques dans un procédé CMOS sub-O,1µm, Thèse de doctorat, 2003.

J. [. Thompson and . Bennett, Thermal stability of ultra-shallow junctions in silicon formed by molecular-beam epitaxy using boron delta doping, Materials Science and Engineering: B, vol.89, issue.1-3, p.211, 2002.
DOI : 10.1016/S0921-5107(01)00855-8

?. O. Références-bibliographiques-[-thompson83-]-m, J. W. Thompson, A. G. Mayer, H. C. Cullis, N. G. Webber et al., Silicon melt, regrowth, and amorphisation velocities during pulsed laser irradiation, Phys. Rev. Lett, issue.12, p.50896, 1983.

]. M. Thompson83a, G. J. Thompson, J. W. Galvin, and . Mayer, Melt dynamics of silicon???on???sapphire during pulsed laser annealing, Applied Physics Letters, vol.42, issue.5, p.445, 1983.
DOI : 10.1063/1.93965

C. [. Torregrosa, H. Laviron, D. Faik, F. Barakel, S. Milesi et al., Realization of ultra shallow junctions by PIII: application to solar cells, Surface and Coatings Technology, vol.186, issue.1-2, p.93, 2004.
DOI : 10.1016/j.surfcoat.2004.04.046

P. [. Tsao and . Peercy, Crystallization instability at the amorphous-silicon/liquid-silicon interface, Physical Review Letters, vol.58, issue.26, p.2782, 1987.
DOI : 10.1103/PhysRevLett.58.2782

H. [. Tsukamoto, T. Yamamoto, T. Noguchi, and . Suzuki, Ultrashallow Junctions Formed by Excimer Laser Annealing, Japanese Journal of Applied Physics, vol.31, issue.Part 2, No. 6A, p.967, 1993.
DOI : 10.1143/JJAP.31.L659

A. [. Uma, K. E. Mcconnell, and . Goodson, Temperature dependent thermal conductivity of undoped polycrystalline silicon, 2000.

E. [. De-unamuno and . Fogarassy, A thermal description of the melting of c- and a-silicon under pulsed excimer lasers, Applied Surface Science, vol.36, issue.1-4, 1989.
DOI : 10.1016/0169-4332(89)90894-5

URL : https://hal.archives-ouvertes.fr/in2p3-00016182

R. [. Vapaille and . Castagné, Dispositifs et circuits intégrés semiconducteurs : physique et technologie, Bordas, 1990.

]. J. Venturini03a, M. Venturini, D. Hernandez, G. Zahorski, T. Kerrien et al., Modelisation and experimental results on laser thermal processing for ultra-shallow junction formation, p.131, 2003.

]. J. Venturini04b, M. Venturini, K. Hernandez, C. Huet, H. Laviron et al., Integration of a long pulse laser thermal process for ultra shallow junction formation of cmos devices, 2004.

. T. Voutsas99-]-a, A. M. Voutsas, R. Marmorstein, and . Solanki, The impact of annealing ambient on the performance of excimer-laser-annealed polysilicon thinfilm transistors, J. Electrochemical. Society, issue.9, p.1463500, 1999.

[. Wang, C. Li-liu, A. Thean, E. Duda, R. Liu et al., Two-dimensional ultrashallow junction characterization of metal-oxide-semiconductor field effect transistors with strained silicon, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.1, p.373, 2004.
DOI : 10.1116/1.1627793

A. [. Webber, N. G. Cullis, and . Chew, Computer simulation of high speed melting of amorphous silicon, Applied Physics Letters, vol.43, issue.7, p.669, 1983.
DOI : 10.1063/1.94440

?. Whelan, A. L. Magna, V. Privitera, M. Mannino, M. Italia et al., Dopant redistribution and electrical activation in silicon following ultra-low energy boron implantation and excimer laser annealing, Physical Review B, vol.67, issue.7, p.75201, 2003.
DOI : 10.1103/PhysRevB.67.075201

R. [. Wolf and . Tauber, Laser Microfabrcation, 1986.

R. F. Wood, G. E. Giles, and J. R. Kirkpatrick, Macroscopic theory of pulsed-laser annealing. II. Dopant diffusion and segregation, Physical Review B, vol.23, issue.10, p.5555, 1981.
DOI : 10.1103/PhysRevB.23.5555

]. R. Wood81a, G. E. Wood, and . Giles, Macroscopic theory of pulsed-laser annealing. I. Thermal transport and melting, Physical Review B, vol.23, issue.6, p.2923, 1981.
DOI : 10.1103/PhysRevB.23.2923

]. R. Wood81v, G. E. Wood, and . Giles, Control of melt-front velocity during pulsed laser annealing, Appl. Phys. Lett, vol.38, issue.6, p.422, 1981.

R. F. Wood, Macroscopic theory of pulsed-laser annealing. III. Nonequilibrium segregation effects, Physical Review B, vol.25, issue.4, p.2786, 1982.
DOI : 10.1103/PhysRevB.25.2786

G. [. Wood and . Geist, Modeling of nonequilibrium melting and solidification in laser-irradiated materials, Physical Review B, vol.34, issue.4, p.2606, 1986.
DOI : 10.1103/PhysRevB.34.2606

. [. Zalm, Ultra shallow doping profiling with SIMS, Reports on Progress in Physics, vol.58, issue.10, p.1321, 1995.
DOI : 10.1088/0034-4885/58/10/004

J. [. Ziegler, U. Biersack, and . Littmark, The stopping and range of ions in solids, 1985.

?. Références, P. Communications, . Dans, . Revues, and . Comitécomit´comité, Laser thermal processing (LTP) for ultra-shallow junction formation : numerical simulation and comparison with experiments, Surf. Sci, pp.208-209, 2002.

M. Kerrien, C. Hernandez, T. Laviron, D. Sarnet, T. Débarre et al., Optical characterization of laser processed ultra-shallow junctions, App. Surf. Sci, pp.208-209, 2002.
DOI : 10.1016/S0169-4332(02)01354-5

G. Kerrien, T. Sarnet, D. Débarre, J. Boulmer, M. Hernandez et al., Gas immersion laser doping (GILD) for ultra-shallow junction formation, EMRS Spring Meeting Proceedings 2003, Symposium H : paper reference H.PI.40 to be published in Thin Solid Films
DOI : 10.1016/j.tsf.2003.11.151

J. Venturini, M. Hernandez, D. Zahorski, G. Kerrien, T. Sarnet et al., Studies of Laser Thermal Processing of Ultra-Shallow Junction : Influence of Laser Pulse Duration, EMRS Spring Meeting Proceedings 2003, Symposium H : paper reference H.PI.49 to be published in Thin Solid Films

J. Venturini, M. Hernandez, D. Zahorski-;-g, T. Kerrien, D. Sarnet et al., Modelisation and Experimental Results on Laser Thermal Processing for Ultra- Shallow Junction Formation, 2003.

M. Hernandez, T. Sarnet, D. Débarre, J. Boulmer, G. Kerrien et al., Ultra-Shallow Junction Formation by Gas Immersion Laser Doping (GILD) on silicon bulk and SOI substrate, Séméria To be published in Proceedings of ECS Spring Meeting 2003 Advanced Short-Time Thermal Processing for Si-Bases CMOS Devices

M. Hernandez, J. Venturini, D. Berard, G. Kerrien, T. Sarnet et al., Science Editor. V114-115 p105 CONFÉRENCESCONF´CONFÉRENCES INTERNATIONALES AVEC ACTES 1 Excimer-laser activation of dopants in silicon : a new concept for a uniform treatment over a whole die area, Akhouayri EMRS Spring Meeting Proceedings 2004, Symposium B : to be publish in. International Workshop on Junction Technologies, pp.6-7, 2001.

C. Defranoux, T. Emeraud, S. Bourtault, J. Venturini, P. Boher et al., Infrared spectroscopic ellipsometry applied to the characterization of ultra shallow junction on silicon and SOI, International Conference of Spectroscopic Ellipsometry 2003 (ICSE 03) 6July 2003
DOI : 10.1016/j.tsf.2004.02.008

M. Hernandez, J. Venturini, D. Zahorski, G. Kerrien, T. Sarnet et al., Experiment and Modelisation Results on Laser Thermal Processing for Ultra-Shallow Junction Formation : Influence of Laser Pulse Duration, MRS Spring Meeting, 2003.

J. Venturini, M. Hernandez, K. Huet, C. Laviron, H. Akhouayri et al., Integration of a long pulse laser thermal process for ultra shallow junction formation of CMOS devices, 12th IEEE International Conference on Advanced Thermal Processing of Semiconductors, 2004. RTP 2004., 2004.
DOI : 10.1109/RTP.2004.1441939

C. Internationales and S. S. Bois-colombes, USJ Workshop Colloque international organisé par, Thème du colloque, 2002.

C. Nationales, A. Actes-???-1, M. Hernandez, J. Boulmer, and C. Laviron, Réalisation de jonctions ultra-minces par recuit laser, Journées Nationales du Réseau Doctoral en Microtechnologie, 2002.

G. Kerrien, T. Sarnet, D. Débarre, M. Hernandez, D. Zahorski et al., Caract??risation de jonctions ultra-minces r??alis??es par dopage laser, Journal de Physique IV (Proceedings), vol.108, 2003.
DOI : 10.1051/jp4:20030599

M. Hernandez, C. Laviron, and J. , Réalisation de jonctions nanométriques par recuit laser, Boulmer Journées Nationales du Réseau Doctoral en Microtechnologie, 2003.

M. Hernandez, J. Boulmer, and C. Laviron, Dopage laser ultra-mince pour la microelectronique silicium sub-0,1 µm, Journées Nationales du Réseau Doctoral en Microtechnologie Marseille CONFÉRENCESCONF´CONFÉRENCES NATIONALES SANS ACTES, vol.1, issue.14, 2002.

G. Kerrien, T. Sarnet, D. Débarre, T. Noguchi, J. Boulmer et al., Laser processing of highly doped, abrupt and ultra-shallow junctions for future CMOS technologies, Camel. Journées Nationales du Réseau RMNT, en collaboration avec le Club Nanotechnologie, le CNRS et l'ADEMIS, SITEF 2002, pp.23-24, 2002.

T. Kerrien, D. Sarnet, J. Débarre, M. Boulmer, J. Hernandez et al., Laser processing of highly doped, abrupt and ultra-shallow junctions, Journées Nationales du GDR Nanoélectronique, 4` emes Journées " HétérostructuresHétérostructuresà semiconducteurs IV-IV " , et 1` eres Journées " Composants Micro et Nano-´ electroniques, pp.28-31, 2003.

G. Kerrien, T. Sarnet, D. Débarre, J. Boulmer, H. Akhouary et al., DOpage LAser pour la MIcroélectronique silicium sub-0,1 µm, Journées Nationales du Réseau RMNT 2003, pp.3-4, 2003.