S. Coffa, S. Libertino, and C. Spinella, Transition from small interstitial clusters to extended {311} defects in ion-implanted Si, Applied Physics Letters, vol.76, issue.3, p.321, 2000.
DOI : 10.1063/1.125733

. N. Cowern1, G. Cowern, P. A. Mannino, F. Stolk, H. G. Roozeboom et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol.82, issue.22, p.4460, 1999.
DOI : 10.1103/PhysRevLett.82.4460

]. N. Cowern, D. Alquier, M. Omri, A. Claverie, and A. Nejim, Transient enhanced diffusion in preamorphized silicon: the role of the surface, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.148, issue.1-4, p.257, 1999.
DOI : 10.1016/S0168-583X(98)00678-8

. F. Cristiano, J. Cristiano, B. Grisolia, M. Colombeau, B. Omri et al., Formation energies and relative stability of perfect and faulted dislocation loops in silicon, Journal of Applied Physics, vol.87, issue.12, p.8420, 2000.
DOI : 10.1063/1.373557

. S. Dannefaer, P. Dannefaer, D. Mascher, and . Kerr, Monovacancy Formation Enthalpy in Silicon, Physical Review Letters, vol.56, issue.20, p.2195, 1986.
DOI : 10.1103/PhysRevLett.56.2195

. R. Dennard, F. H. Dennard, H. N. Gaensslen, V. L. Yu, E. Rideout et al., Design of ion-implanted MOSFET's with very small physical dimensions, IEEE Journal of Solid-State Circuits, vol.9, issue.5, p.256, 1974.
DOI : 10.1109/JSSC.1974.1050511

8. M. Fahey, P. B. Fahey, J. D. Griffin, and . Plummer, Point defects and dopant diffusion in silicon, Reviews of Modern Physics, vol.61, issue.2, p.289, 1989.
DOI : 10.1103/RevModPhys.61.289

. P. Favennec and . Favennec, L'implantation ionique pour la microélectronique et l'optique ; Collection Masson, 1993.

]. F. Morehead, M. Stavola, S. J. Pearton, and G. Davies, Chap.1 Défauts d'Imp. Ion. et la Diffusion dans le Si, Defect in Electronic Materials, p.99, 1972.

. L. Pelaz, G. H. Pelaz, H. Gilmer, C. S. Gossmann, M. Raferty et al., B cluster formation and dissolution in Si: A scenario based on atomistic modeling, Applied Physics Letters, vol.74, issue.24, p.3657, 1999.
DOI : 10.1063/1.123213

. L. Shao, J. Shao, Q. Y. Liu, W. Chen, and . Chu, Boron diffusion in silicon: the anomalies and control by point defect engineering, Materials Science and Engineering: R: Reports, vol.42, issue.3-4, pp.65-114, 2003.
DOI : 10.1016/j.mser.2003.08.002

. S. Sze and . Sze, Physics of Semiconducteurs Devices, 1981.

. S. Sze and . Sze, Modern Semiconducteur Device Physics, 1998.

. A. Ural, P. B. Ural, J. D. Griffin, and . Plummer, Fractional contributions of microscopic diffusion mechanisms for common dopants and self-diffusion in silicon, Journal of Applied Physics, vol.85, issue.9, p.6440, 1999.
DOI : 10.1063/1.370285

]. A. Claverie99, L. F. Claverie, M. Giles, B. Omri, G. B. De-mauduit et al., Nucleation, growth and dissolution of extended defects in implanted Si: impact on dopant diffusion, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.147, issue.1-4, p.1, 1999.
DOI : 10.1016/S0168-583X(98)00617-X

B. Colombeau, N. E. Cowern, F. Cristiano, Y. Lamrani, P. Calvo et al., Claverie Report on depth profil of {113} defect band during TED, pp.2000-30129, 2002.

]. N. Cowern, G. Mannino, P. A. Stolk, F. Roozeboom, H. G. Huizing et al., Energetics of Self-Interstitial Clusters in Si, Cowern2,99] N.E.B Cowern, D. Alquier, M. Omri, A. Claverie, and A. Nejim. Nucl. Instr. and Meth. B 148, pp.4460-257, 1999.
DOI : 10.1103/PhysRevLett.82.4460

8. M. Fahey, P. B. Fahey, J. D. Griffin, and . Plummer, Point defects and dopant diffusion in silicon, Reviews of Modern Physics, vol.61, issue.2, p.289, 1981.
DOI : 10.1103/RevModPhys.61.289

E. Lampin, F. Cristiano, Y. Lamrani, and B. Colombeau, Coupling of atom-by-atom calculations of extended defects with B kick-out equations: application to the simulation of boron ted, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.216, p.95, 2004.
DOI : 10.1016/j.nimb.2003.11.026

URL : https://hal.archives-ouvertes.fr/hal-00146423

9. R. Lim, C. S. Lim, F. P. Ra, and . Klemens, The role of the surface in transient enhanced diffusion, Applied Physics Letters, vol.67, issue.16, p.2302, 1995.
DOI : 10.1063/1.115133

. K. Moller, K. Moller, M. Jones, and . Law, Cross-sectional transmission electron microscopy analysis of {311} defects from Si implantation into silicon, Applied Physics Letters, vol.72, issue.20, p.2547, 1998.
DOI : 10.1063/1.120623

]. G. Olson and J. A. Roth, Material Science Reports 3 1- 78, Étude Expérimentale de la TED [Omri,99] M. Omri, B. de Mauduit, and A. Claverie. MRS Symp. Proc. (568), p.219, 1988.

. D. Salvador, E. De-salvador, S. Napolitani, F. Mirabella, V. Giannazzo et al., Poate Physical mechanisms of transient enhanced dopant diffusion in ion-implanted silicon, Nucl. Inst. Meth. in Phy. Res. B J. Appl. Phys. Appl. Phys. Lett, vol.206, issue.79, pp.922-926, 1997.

. M. Aboy, L. Aboy, L. A. Pelaz, J. Marques, A. Barbolla et al., Atomistic modeling of deactivation and reactivation mechanisms in high-concentration boron profiles, Applied Physics Letters, vol.83, issue.20, p.4166, 2003.
DOI : 10.1063/1.1628391

. A. Agarwal, A. T. Agarwal, H. Fiory, C. S. Gossmann, P. Rafferty et al., Ultra-shallow junction formation by spike annealing in a lamp-based or hot-walled rapid thermal annealing system: effect of ramp-up rate, Materials Science in Semiconductor Processing, vol.1, issue.3-4, p.237, 1998.
DOI : 10.1016/S1369-8001(98)00030-4

. A. Argawal, H. J. Argawal, A. Gossmann, and . Fioryathena-silvaco, ATHENA-SILVACO user's manual, version 3, Baccus,94] B. Baccus, E. Vandenbossche, Defect and Diffusion Forum, pp.115-116, 1994.

]. N. Cowern, G. Mannino, P. A. Stolk, F. Roozeboom, H. G. Huizing et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol.82, issue.22, p.4460, 1999.
DOI : 10.1103/PhysRevLett.82.4460

. Goffe, . Goffe, and R. Ferrier, Global optimization of statistical functions with simulated annealing, Journal of Econometrics, vol.60, issue.1-2, pp.65-100, 1996.
DOI : 10.1016/0304-4076(94)90038-8

. E. Lampin, V. Lampin, A. Senez, and . Claverie, Modeling of the transient enhanced diffusion of boron implanted into preamorphized silicon, Journal of Applied Physics, vol.85, issue.12, p.8137, 1999.
DOI : 10.1063/1.370652

. E. Landi, S. Landi, S. Guimaraes, and . Solmi, Influence of nucleation on the kinetics of boron precipitation in silicon, Applied Physics A Solids and Surfaces, vol.57, issue.2, p.135, 1987.
DOI : 10.1007/BF00626414

. P. Mannino, P. Mannino, N. E. Stolk, W. Cowern, A. G. De-ber et al., Effect of heating ramp rates on transient enhanced diffusion in ion-implanted silicon, Applied Physics Letters, vol.78, issue.7, p.889, 2001.
DOI : 10.1063/1.1347397