A. Turing, On computable numbers with an application to the Entscheidungsproblem, Proc. Amer, pp.544-546, 1937.

B. V. Amsterdam, How many system architectures ? [6] The International Technology Roadmap for Semiconductors, 2003 edition, International Sematech Asynchronous circuits and systems: a promising design alternative The Netherlands Information technology, Open Systems Interconnection, Basic Reference Model : The Basic Model, IEEE Computer, pp.93-95, 1945.

E. David, W. S. Muller, and . Bartky, A theory of asynchronous circuits, Proceedings of an International Symposium on the Theory of Switching, pp.204-243, 1959.

C. L. Seitz, System timing Introduction to VLSI Systems, chapter 7 Principles of asynchronous circuit design -A systems perspective, 14] C. J. Myers, Asynchronous Circuit Design, 1980.

S. Hauck, Asynchronous design methodologies: an overview, Proceedings of the IEEE, vol.83, issue.1, pp.69-93, 1995.
DOI : 10.1109/5.362752

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.37.974

J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno, and A. Yakovlev, Petrify: a tool for manipulating concurrent specifications and synthesis of asynchronous controllers, XI Conference on Design of Integrated Circuits and Systems, 1996.

A. J. Martin, The Limitations to Delay-Insensitivity in Asynchronous Circuits, Advanced Research in VLSI (W. J. Dally18] Rajit Manohar and Alain J. Martin, Quasi-Delay Insensitive Circuits are Turing- Complete. Invited paper, Async96 Second International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.263-278, 1990.
DOI : 10.1007/978-1-4612-4476-9_35

I. Blunno and L. Lavagno, Automated synthesis of micro-pipelines from behavioral Verilog HDL, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586), pp.84-92, 2000.
DOI : 10.1109/ASYNC.2000.836967

D. A. Huffman, The synthesis of sequential switching circuits, Journal of the Franklin Institute, 1954.

S. H. Unger, Asynchronous Sequential Switching CircuitsAutomatic Synthesis of Fast Compact Asynchronous Control Circuits, IFIP Working Conference on Asynchronous Design Methodologies, 1969.

K. Y. Yun, D. L. Dill, and S. M. Nowick, Practical generalizations of asynchronous state machines, 1993 European Conference on Design Automation with the European Event in ASIC Design, pp.525-530
DOI : 10.1109/EDAC.1993.386422

R. M. Fuhrer, S. M. Nowick, M. Theobald, N. K. Jha, B. Lin et al., Minimalist: An environment for the synthesis, verification and testability of burstmode asynchronous machines, 1999.

Y. Kesten, A. Pnueli, and L. Raviv, Algorithmic verification of linear temporal logic specifications, Proc. International Colloquium on Automata, Languages and Programming (ICALP'98), Lecture Notes in Computer Science 144327] A.V. Aho, R. Sethi, and J.D. Ullman. Compilers principles, techniques, and tools, pp.1-16, 1986.
DOI : 10.1007/BFb0055036

J. Esparza, M. Nielsen31, ]. J. Esparza, J. Esparza, and J. , Decidability Issues for Petri Nets|a Survey Decidability and complexity of Petri net problems ---an introduction. In Advances in Petri Nets Free Choice Petri Nets Hardware Design and Petri Nets, Petri Nets with Inhibitor and Read Arcs: Semantics, Analysis and Application to Process Calculi Dipartimento di Matematica, pp.143-160, 1995.

D. Misunas, Petri nets and speed independent design, Communications of the ACM, vol.16, issue.8, pp.474-481, 1973.
DOI : 10.1145/355609.362318

S. Patil, Circuit Implementation of Petri Nets, Computation Structures Group Memo 73, 1972.

. Petri-nets, M. Project, . Mit, . Cambridge, C. A. Massachussets et al., Communicating Sequential Processes [40] A. Mazurkiewicz. Trace theory In Petri Nets: Applications and Relationships to Other Model of Concurrency Advances in Petri nets 1986, Part II; Proceedings of an advanced Course COSY: Its Relation to Nets and CSP, Petri Nets: Applications and Relationships to Other Model of Concurrency, Advances in Petri nets 1986, Part II; Proceedings of an advanced Course, pp.279-324, 1972.

T. Bjerregaard, J. Sparsø, and . Shankar-mahadevan-madsen, Modeling Asynchronous Communication at Different Levels of Abstraction Using SystemC v2, 2003.

]. K. Einwich, Analog Mixed Signal Extensions for SystemC v2.0.1 " , White paper and proposal for the foundation of an OSCI Working Group (SystemC-AMS working group), 2002.

]. G. Martin, SystemC and the future of design languages: opportunities for users and research, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings., p.61, 2003.
DOI : 10.1109/SBCCI.2003.1232807

M. E. Conway, Design of a separable transition-diagram compiler, Communications of the ACM, vol.6, issue.7, pp.8-15, 1963.
DOI : 10.1145/366663.366704

]. F. Herrera, P. Sanchez, E. Villar, W. Mueller, J. Ruf et al., Modeling of CSP, KPN, and SR Systems with SystemC, Forum on specification & Design Languages The Simulation Semantics of SystemC, Design, Automation and Test in Europe, pp.64-70, 2001.

R. Drechsler, D. J. Groe, D. W. Ruf, T. Hoffmann, and W. Kropf, Reachability analysis for formal verification of SystemC Simulation-guided property checking based on multivalued ar-automata, EUROMICRO Design, Automation and Test in Europe, pp.337-340, 2001.

J. C. Ebergen, Translating Programs into Delay-Insensitive Circuits, 1987.

E. Brunvand-kees-van-berkel, J. Kessels, and M. Roncken, Ronald Saeijs, and Frits Schalij. The VLSI-programming language Tangram and its translation into handshake circuits Compiling the language Balsa to delay-insensitive hardware, Translating Concurrent Communicating Programs into Asynchronous Circuits Proc. European Conference on Design Automation (EDAC) Hardware Description Languages and their Applications (CHDL), pp.384-389, 1991.

W. C. Elmore, The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers, 71] Formal Method Education Resources, pp.55-63, 1948.
DOI : 10.1063/1.1697872