.. Spécificité-d-'un-outil-À-un-domaine-particulier, 31 II.4.6. Capacité limitée d'utiliser un IP dans le processus de synthèse d'architecture 32 II.5. Conclusion The rest of the paper is organized as follows. Section II presents some basic concepts adopted and introduces our methodology, Section III details the design and validation steps of the methodology and the associated design flow. Section IV presents the experimental results

D. Silva and L. , Design methodology for Pico Radio networks, Proc. IEEE/ACM Design, Automation and Test in Europe, pp.314-323, 2001.

W. R. Davis, A Design Environment for High Throughput Low-Power Dedicated Signal Processing Systems Behavioral Synthesis and Component Reuse with VHDL, IEEE Journal of Solid-State-Circuits Vo, vol.37, issue.3, 1996.

J. Hurk and E. Dilling, System Level Design, a VHDL Based Approach, Proceedings of Euro-DAC, 1995.

J. P. Elliott, Understanding Behavioral Synthesis: A Practical Guide to High-Level Design, 1999.
DOI : 10.1007/978-1-4615-5059-4

D. Gajski and L. Ramacahndran, Introduction to high-level synthesis, IEEE Design & Test of Computers, vol.11, issue.4, 1994.
DOI : 10.1109/54.329454

URL : https://hal.archives-ouvertes.fr/hal-00447325

M. Genoe, On the use of VHDL-based behavioral synthesis for telecom ASIC design, the Proceedings of the International Symposium on System Synthesis ISSS'95, 1995.

R. Lauwereins, M. Engels, and M. Ade, GRAPE-lI: A System-Level Prototyping Environment for DSP Applications, IEEE Computer, pp.35-43, 1995.

M. T. Lee, Domain-specific high-level modeling and synthesis for ATM switch design using VHDL. DAC'96

D. Micheli, G. Martin, G. Salefski, B. Koegst, M. Lee et al., Hardware Synthesis from C/C++ Models Methodology and Technology for Design of Communication and Multimedia Products via System-Level IP Integration A Systematic Analysis of Reuse Strategies for Design of Electronic Circuits A framework for comparing models of computation Simulink a nd stateflow Available at http://www.mathworks.com. [19] Zergainoh N., et Al.: Framework for System Design, Validation and Fast Prototyping of Multiprocessor SoCs, « Temporal Programming Language Tokio », in Logic Programming Conf User Guided High Level Synthesis, AID 03] Aidi H., Berhouma R., « Flot semi-automatique de conception et de validation pour macro-cellules ASIC dédiées au traitement du signal », rapport de stage, ENSERGART 01] « ART Designer, Reference Manual, Version 2.2 rev 25 », Frontier Design proceedings of the International Conference on Very Large Scale Integration (VLSI'97 Gramado Brasil Automatic Exploration of the DesignSpace for Register Transfer (RT) Systems Thèse de doctorat Behavioral Network Graph: Unifying the Domains of High-Level and Logic Synthesis The Siemens High Level Synthesis System, pp.382-383, 1973.

C. Camposano, R. Rosenstiel, and W. , Synthesizing circuits from behavioural descriptions, [CAM 89b] Camposano R., Tabet R.M.., « Design Representation for the Synthesis, pp.171-180, 1989.
DOI : 10.1109/43.21835

V. Behavioral, R. Camposano, R. A. Bergamaschi, C. E. Haynes, M. Payer et al., « High Level VLSI Synthesis », volume The IBM high-level Synthesis System [CAR 03] Caron S., « Génération automatique de macro-cellules DSP ASIC à partir d'un modèle fonctionnel », rapport de stage, Polytech'Grenoble « An XMLbased meta-model for the design of multiprocessor embedded systems, Proc. Of the 9 th Int'I Conf on CHDL VHDL International User's Forum (VIUF) Fall Workshop « Rethinking Behavioral Synthesis for a Better Integration within Existing Design Flows », International Conferenece on Computer Design (ICCD) Colif : a multilevel design representation for application-specific multiprocessor system-on-chip design », 12 th IEEE International Workshop on Rapid System Prototyping, 1989.

C. Monterey, U. Cesario, W. Nicolescu, G. Gauthier, L. Lyonnard et al., « Colif : a design representation for application-specific multiprocessor SOCs, IEEE Design & Test of Computers, vol.18, issue.5, pp.65-106, 2001.

G. Electrique-microsystèmes, Série : Electronique et Micro-électronique, CoCentric SystemC Compiler, User Guide », Version 2000.11-SCC1, Synopsys, 2000. [COT 00] Cottet F., « Traitement du signal, pp.aide-mémoire, 2000.

W. R. Davis, « A Design Environment for High Throughput Low-Power Dedicated Signal Processing Systems », IEEE Journal of Solid-State-Circuits Vo « Combining architecture exploration and a path to implementation to build a complete SoC design flow from system specification to RTL, DSP 01] DSP Builder, Quartus II and Matlab/Simulink Interface, User Guide v1.0, Altera, october 2001. [DZI 01] Asia South Pacific Design Automation Conference (ASP-DAC'03)ELL 99] Elliott J.P., « Understanding Behavioral Synthesis: A Pratical Guide, pp.314-323, 2001.

L. Design, ». Gasjki, D. Ramachandran, and L. , « Introduction to High-Level Synthesis, IEEE Design and Test of Computers, 1994.

A. Circuit, D. Goosens, G. Rabaey, J. Vandewalle, J. De-man et al., « An Efficient Micro-Code Compiler for Custom DSP-processors, Digest of Technical Papers of the IEEE Int, 1984.

T. Scheduling, D. Guo, Y. Xu, G. Mccain, D. Cavallaro et al., Advanced Design Automation System: Overview Planner and Natural Language Interface », in proc [GUI 03] « Matlab, the language of technical computing, creating graphical user interfaces « Rapid Scheduling of Efficient FPGA Architectures for Next Generation CDMA Wireless Communication Systems Using Tsunami PrecisionC Synthesizer»Architectural Retiming: An Overview, Proceedings 26 th IEEE/ACM Design Automation Conference of the 22 nd ACM/IEEE Design Automation Conference Mathworks inc., 2003. [GUO 03] 14 th IEEE international workshop on Rapid System Prototyping (RSP), 2003. [HAS 95HAS 96] Hassoun S., Ebeling C., "Architectural Retiming, p.95, 1985.

S. Hassoun and C. Ebeling, Sequential Circuit Optimizations Using Precomputation, Constrained Circuits", Design Automation Conference International Workshop on Logic Synthesis (IWLS-97)HAS 97b] Hassoun S. and Ebeling C., "An Overview of Prediction-Based Architectural, 1996.

R. Hilfinger, P. N. Jerraya, A. A. Ding, H. Kission, P. Rahmouni et al., [HAS 98] Hassoun S., « Fine Grain Incremental Rescheduling via Architectural Retiming, High Level Language and Silicon Compilation for Digital Signal Processing proc. of IEEE Custum integrated Circuits Conference Behavioral Synthesis and Component Reuse with VHDLJOH 84] Johnson S.D.,« Synthesis of Digital Designs from Recursion Equations Thèse de doctoratKED 98] Keding H., Willems M., Coors M., Meyr H., « FRIDGE: A Fixed-Point Design and Simulation Environment », Proceeding of DATEKNA 96] Knapp D.W., « Behavioral Synthesis: Digital System Design Using the, pp.213-216, 1984.

S. Behavioral-compiler, ». , P. H. Ku, D. De-micheli, D. Ku et al., HardwareC ? A Language for Hardware Design High-level Synthesis of ASICs under Timing and Synchronization Constraints Algorithmic and Register Transfer Level Synthesis », The System Architect's Workbench, Kluwer Domain-specific high-level modeling and synthesis for ATM switch design using VHDL, DAC'96. [MAG 03] Magarshack P., «MPSOC'2003 », 3 rd International Seminar on, 1988.

S. Multi-processor-soc, G. Isis-?-gt7-martin, and E. , Analysis and Optimization of Sequential Circuits [MAR 01] Martin E., «Adéquation algorithme ? architecture pour les communications numériques Les outils de CAO de circuits et de systèmes, Conception de haut niveau des systèmes monopuces", pp 203-204 traité EGEM Electronique -Génie Electrique -Microsystèmes, Série : Electronique et Micro-électronique The MIMOLA Design System: Detailed Description of the, 1999.

E. Martin, O. Sentieys, H. Dubois, J. L. Philippe, «. Gaut-note et al., a system for High-Level Synthesis », in proc Architecture-Driven High-Level Synthesis for High throughput DSP Applications A Multi-paradigm Approach to Automatic Data-Path Synthesis », in proc « Force-Direct Scheduling in Automated Data Path synthesis Simulink, User's Guide, Dynamic System Simulation for Matlab, version 4, Mathworks inc MacPitts: an approach to Silicon Compilation, proc. of the Design Automation Conference An Architectural Synthesis Tool for Dedicated Signal Processors proc. of the Europeen Conference on Design Automation proc. Design Automation Conference of the Design Automation Conference Proceedings 24 th IEEE/ACM Design Automation Conference Proceedings of the ICCD'92, MassachusettsSAK 84a] Sakarovitch M., Optimisation combinatoire, programmation discrète, Editions HermannSAK 84b] Sakarovitch M., Optimisation combinatoire, graphe et programmation linéaire, Editions Hermann VHDL : méthodologie de design et techniques avancéesSPE 03] SpecCSTO 91] Stok L., « Architectural Synthesis and Optimization of Digital Systems These de doctoratSTO 94] Stok L., « A Decade of High Level Synthesis: Fundementals and Applications proc. IX Congress of Brazilian Microelectronics Society (SBMICRO)STO 98] Stocklein T., Basig J., Handel-C, an Efficient Method for Designing FPGAs (and ASICs)SYS 03] SystemC. Available at http://www.systemc.org [TAM 02] Tambour L ., Zergainoh N.E, pp.195-20274, 1983.

A. A. Tambour, L. Zergainoh, N. Jerraya, A. Urard, P. Michel et al., «An Efficient Methodology and Semi-automated Flow for Design and Validation of Complex Digital Signal Processing ASIC macro-cells» [TRI 88] Trickey H., « Flamel : A High-Level Hardware Compiler [WAS 03] Wassfi A., « Environnement de création et de validation de librairies d'IP », rapport de stage, Polytech'Grenoble, 14 th IEEE international workshop on Rapid System Prototyping (RSP)WAN 92] Wanhammar L., « DSP integrated CircuitsWHE 94] When N., « Scheduling of Behavioral VHDL by Retiming Techniques proc. of European Conference on Design AutomationZEM 86] Zemen J., Moschyts G.S., « Systematic Design and Programming of Signal Processors, using Project Management Techniques, pp.259-26931, 1986.

K. Kleinjohann, Méthodologie et modèles pour la conception digitale", chapitre dansConception de haut niveau des systèmes monopuces", pp 28-29-33-34 traité EGEM Electronique -Génie Electrique -Microsystèmes, Série : Electronique et Micro-électronique, ZIM 80] Zimmermann G., «MDS ? The Mimola Design Method, pp.337-369, 1980.

R. Aujourd-'hui, les macro-cellules ASIC dédiées au traitement du signal deviennent de plus en plus complexes, coûteuses en temps et efforts de conception. Ces macro-cellules seront ensuite assemblées avec d'autres composants IPs (e.g. processeurs, mémoires, média de communication, etc.) pour être intégrées dans un

. Système-sur-puce, Les procédés de conception deviennent insuffisants pour conserver la maîtrise de la complexité (d'un point de vue aussi bien algorithmique qu'architectural) des nouvelles applications tout en