A. V. Bibliographie, R. Aho, J. D. Sethi, and . Ullman, Compilers: Principles, Techniques and Tools, 1990.

. Anceau, The Architecture of Microprocessors, 1986.

. Anceau, Architecture des processeurs VLSI". Cours -Ecole Polytechnique - D epartement des math ematiques appliqu ees, 1996.

. Araujo, Code Generation Algorithms for Digital Signal Processors, 1997.

A. Araujo, S. Sudarsanam, and . Malik, Instruction set design and optimizations for address computation in DSP architectures, Proceedings of 9th International Symposium on Systems Synthesis, 1996.
DOI : 10.1109/ISSS.1996.565889

D. F. Bacon, S. L. Graham, and O. J. Sharp, Compiler transformations for high-performance computing, ACM Computing Surveys, vol.26, issue.4, p.3455419, 1994.
DOI : 10.1145/197405.197406

. Bayco, Great Microprocessor of the Past and Present, 1997.

A. Beerel, K. Y. Yun, S. M. Nowick, and P. Yeh, Estimation and bounding of energy consumption in burst-mode control circuits, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), p.26633, 1995.
DOI : 10.1109/ICCAD.1995.479881

M. Benini, P. Favalli, B. Olivo, and . Ricco, A novel approach to cost-eeective estimate of power dissipation in CMOS ICs, p.3544360, 1993.

E. Benitez and J. W. Davidson, The advantages of machine-dependent global optimization, Proceedings Conference on Programming Languages and System Architectures, 1994.
DOI : 10.1007/3-540-57840-4_27

S. Benkoski and . Napper, Design Techniques and CAD For Low Power Design - EEect of Submicron on Design and Design Tools, 1995.

D. B. Benz, J. M. Lidsky, and . Rabaey, Information Based Design Environment, 1995.

S. Bhattacharya, S. Dey, and F. Brglez, Performance Analysis and Optimization of Schedules for Conditional and Loop-Intensive Speciications, Design Automation Conference, pp.4911496-4911527, 1994.

M. Cornero, P. Santana, and . Paulin, A Flexible Environment for the Development of Application-Speciic Hardware and Software". Embedded Systems Technologies internal notes -STMicroelectronics, 1998.

S. Devadas and . Malik, A survey of optimization techniques targeting low power VLSI circuits, Proceedings of the 32nd ACM/IEEE conference on Design automation conference , DAC '95, p.2422247, 1995.
DOI : 10.1145/217474.217536

J. Dion, Y. Philippe, and . Robert, Parallelizing compilers: what can be achieved?, pp.94-105, 1994.
DOI : 10.1007/3-540-57981-8_159

URL : https://hal.archives-ouvertes.fr/hal-00857087

P. Dresig, O. Lanch-es, U. G. Reittig, and . Baitinger, Simulation and reduction of CMOS power dissipation at logic level, 1993 European Conference on Design Automation with the European Event in ASIC Design, p.3411346, 1993.
DOI : 10.1109/EDAC.1993.386452

N. Fischer and R. J. Leblanc, Crafting a Compiler with, 1991.

O. Gailhard, J. Ingremeau, N. Diguet, E. Julien, and . Martin, Une m ethode probabiliste pour estimer la consommation a u n niveau algorithmique, Colloque CAO de circuits int egr es et syst emes, 1997.

N. Gailhard, J. Julien, E. Diguet, and . Martin, Methods to transform easily classical architectural synthesis tools to low power ones, 8th IEEE Great Lakes Symposium on VLSI, 1998.

N. Gailhard, E. Julien, and . Martin, Int egration de m ethodes d'optimisation faible consommation dans l'outil de synth ese architecturale GAUT W, AAA'97, 1998.

N. Gajski, A. Dutt, Y. Wu, and . Lin, High-Level Synthesis : Introduction to Chip and System Design, 1992.
DOI : 10.1007/978-1-4615-3636-9

S. Ghosh, K. Devadas, J. Keutzer, and . White, Estimation of average switching activity in combinational and sequential circuits, [1992] Proceedings 29th ACM/IEEE Design Automation Conference, pp.2533259-2533288, 1992.
DOI : 10.1109/DAC.1992.227826

J. Chaitin, A. Auslander, A. K. Chandra, J. Cocke, M. E. Hopkins et al., Register allocation via coloring, Computer Languages, vol.6, issue.1, p.47757, 1981.
DOI : 10.1016/0096-0551(81)90048-5

J. V. Goossens, D. Praet, W. Lanneer, F. Geurts, and . Thoen, Programmable Chips In Consumer Electronics and Telecommunications, Hardware-Software Co-design, 1996.
DOI : 10.1007/978-94-009-0187-2_6

G. Stanford-compiler, The SUIF Library, 1994.

M. Guerra, J. Potkonjak, and . Rabaey, System-level design guidance using algorithm properties, Proceedings of 1994 IEEE Workshop on VLSI Signal Processing, p.73382, 1994.
DOI : 10.1109/VLSISP.1994.574732

B. Guillaume, M. Boulanger, M. Santana, P. Cornero, and A. A. Jerraya, Exploitation au niveau source des ressources d'adressage machine dans le cadre d'applications embarqu ees, Colloque CAO de circuits int egr es et syst emes, 1999.

A. A. Guillaume and . Jerraya, Caract erisation de la consommation associ ee a la synth ese architecturale : une m ethodologie, Colloque CAO de circuits int egr es et syst emes, 1997.

L. Hennessy and D. A. Patterson, Architecture des ordinateurs: Une approche quantitative French v ersion of "Computer architecture, a quantitative approach, 1992.

Y. Hitchcock and D. E. Thomas, A Method of Automatic Data Path Synthesis, 20th Design Automation Conference Proceedings, 1983.
DOI : 10.1109/DAC.1983.1585697

M. A. Hopper, Register Allocation, 1994.

S. Inc, Synopsys Behavioral Compiler User Guide, 1994.

A. Jerraya, H. Ding, P. Kission, and M. Rahmouni, Behavioral Synthesis and Component Reuse with VHDL, 1997.
DOI : 10.1007/978-1-4615-6315-0

A. Jerraya, I. Park, and K. O-'brien, AMICAL: An interactive high level synthesis environment, 1993 European Conference on Design Automation with the European Event in ASIC Design, 1993.
DOI : 10.1109/EDAC.1993.386500

URL : https://hal.archives-ouvertes.fr/hal-00008147

K. Jha and N. D. Dutt, Rapid estimation for parameterized components in high-level synthesis, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.1, issue.3, p.2966303, 1993.
DOI : 10.1109/92.238443

N. Katkoori, R. Kumar, and . Vemuri, High Level Prooling Based Low Power Synthesis Technique, International Conference on Computer Design, 1995.

W. Kernighan and D. M. Ritchie, Le langage, 1990.

S. Kumar, L. Katkoori, R. Rader, and . Vemuri, Proole-Driven Behavioral Synthesis for Low-Power VLSI Systems, IEEE Design and Test of Computers, p.70084, 1995.

E. Landman, R. Mehra, and J. M. Rabaey, An integrated CAD environment for low-power design, IEEE Design & Test of Computers, vol.13, issue.2, p.72282, 1996.
DOI : 10.1109/54.500202

E. Landman and J. M. Rabaey, Power estimation for high level synthesis, 1993 European Conference on Design Automation with the European Event in ASIC Design, p.3611366, 1993.
DOI : 10.1109/EDAC.1993.386449

E. Landman and J. M. Rabaey, Black-Box Capacitance Models for Architectural Power Analysis, International Workshop on Low Power Design, 1994.

E. Landman and J. M. Rabaey, Architectural power analysis: The dual bit type method, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.3, issue.2, p.1733187, 1995.
DOI : 10.1109/92.386219

T. Lee, V. Tiwari, S. Malik, and M. Fujita, Power analysis and Low-Power Scheduling Techniques for Embedded DSP Software, International Symposium on System Synthesis, p.1100115, 1995.

K. A. Lemone, Design of Compilers -Techniques of programming langage translation, 1992.

. Lepley, ILP, S c heduling and Loop Optimization Techniques". Embedded Systems Technologies internal notes -STMicroelectronics, 1998.

. Leupers, Retargetable Code Generation for Digital Signal Processors, 1997.
DOI : 10.1007/978-1-4757-2570-4

M. Levy, C Compilers for DSP ex their muscles". EDN -Design Feature, p.933106, 1997.

M. Levy, EDN's 1997 DSP-architecture Directory, 1997.

S. Liao, K. Devadas, A. Keutzer, G. Wang, A. Araujo et al., Code Generation and Optimization Techniques for Embedded Digital Signal Processors, Hardware Software Co-design, 1996.
DOI : 10.1007/978-94-009-0187-2_7

B. Lidsky and J. M. Rabaey, Low P ower Design of Memory Intensive F unctions Case STudy : Vector Quantization, International Workshop on VLSI Signal Processing, p.3788379, 1994.

P. Liem, M. Cornero, and A. Jerraya, Industrial Experiance Using Ruledriven Retargetable Code Generation for Multimedia Applications, Proc. of ISSS, 1995.

P. Liem, A. Paulin, and . Jerraya, Address Calculation for Retargetable Compilation and Exploration of Instruction-Set Architectures, Proc. of the Design Automation Conference, p.5977600, 1996.
URL : https://hal.archives-ouvertes.fr/hal-00008023

P. Liem and A. Jerraya, Compilation Methods for the Adress Calculation Units of Embedded Processor Systems". Design Automation for Embedded Sytems, p.61177, 1996.

C. Liem, Retargetable Compilers for Embedded Core P r ocessors -Methods and Experiences in Industrial Applications, 1997.

C. Liu and . Svensson, Power Consumption Estimation in CMOS VLSI Chips, IEEE Journal of Solid-State Circuits, vol.296, p.6633670, 1994.

A. Manne, R. I. Pardo, G. D. Bahar, F. Hachtel, E. Somenzi et al., Computing the maximum power cycles of a sequential circuit, Proceedings of the 32nd ACM/IEEE conference on Design automation conference , DAC '95, p.23328, 1995.
DOI : 10.1145/217474.217501

R. Marculescu, M. Marculescu, and . Pedram, Information Theoritic Measures of Energy Consumption at Register Transfer Level, International Symposium on Low Power Design, 1995.

D. Marculescu, M. Marculescu, and . Pedram, Switching Activity Analysis Considering Spaciotemporal Correlations, 1994.

J. Martin and . Dunod, Ing enierie des syst emes a microprocesseur, 1996.

S. Martin and J. P. Knight, A Tutorial on Behavioral Synthesis Power Optimization, 1994.

S. Martin and J. P. Knight, Power-Prooler : Optimizing ASICS Power Consumption at the Behavioral Level, Design Automation Conference, pp.42247-42279, 1995.

S. Martin and J. P. Knight, Optimizing power in ASIC behavioral synthesis, IEEE Design & Test of Computers, vol.13, issue.2, p.58870, 1996.
DOI : 10.1109/54.500201

P. Marwedel and G. , Goossens First International Workshop on Code Generation for Embedded Processors Selected PapersCode Generation for Embedded P r ocessors, 1995.

J. M. Mehra and . Rabaey, Behavioral Level Power Estimation and Exploration, International Workshop on Low Power Design, p.1977204, 1994.

H. Meng, B. M. Gordon, E. K. Tsern, and A. C. Hung, Portable Video-on- Demand in Wireless Communication, Proceedings of the IEEE, p.6599680, 1995.

U. Michel, P. Lauther, and . Duzy, The Synthesis Approach to Digitial System Design, 1992.

J. Monteiro and S. Devadas, Techniques for the Power Estimation of Sequential Logic Circuits Under User-Spreciied Input Sequences and Programs, International Symposium on Low Power Design, 1995.

S. Monteiro, A. Devadas, and . Ghosh, Retiming Sequential Circuits for Low Power, International Conference on Computer-Aided Design, p.3988402, 1993.

S. Monteiro, B. Devadas, and . Lin, A Methodology for EEcient Estimation of Switching Activity in Sequential Logic Circuits, Design Automation Conference, pp.12217-12248, 1994.

S. Muchnick, Advanced Compiler Design and Implementation, 1997.

J. Mussol and . Cortadella, High-level synthesis techniques for reducing the activity of functional units, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, p.999104, 1995.
DOI : 10.1145/224081.224099

J. Mussol and . Cortadella, Scheduling and Ressource Binding for Low-Power, International Symposium on System Synthesis, 1995.

F. and N. Cabal, Outils pour l'exploration d'architectures programmables embarqu ees dans le cadre d'applications industrielles, 1998.

N. Najm, A survey of power estimation techniques in VLSI circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, issue.4, p.4466455, 1994.
DOI : 10.1109/92.335013

N. Najm, Feedback, correlation, and delay concerns in the power estimation of VLSI circuits, Proceedings of the 32nd ACM/IEEE conference on Design automation conference , DAC '95, pp.6122617-6122649, 1995.
DOI : 10.1145/217474.217598

N. Najm, Power estimation techniques for integrated circuits, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), 1995.
DOI : 10.1109/ICCAD.1995.480162

N. Najm, S. Goel, and I. Hajj, Power estimation in sequential circuits, Proceedings of the 32nd ACM/IEEE conference on Design automation conference , DAC '95, pp.6355640-6355672, 1995.
DOI : 10.1145/217474.217602

B. Niday and . Cutler, Deep pipelines schedule VLIW for multimedia, Electronic Engineering Times

. Park, AMICAL: Un assistant pour la synth ese et l'exploration architecturale des circuits de commande, Th ese inpg, 1992.

G. , G. Goosens, C. Liem, M. Cornero, and F. Na-cabal, Embedded Software in Real-time Signal Processing Systems: Application and Architecture Trends, Proc. IEEE, 1997.

. Pedram, Power minimization in IC design: principles and applications, ACM Transactions on Design Automation of Electronic Systems, vol.1, issue.1, p.3356, 1996.
DOI : 10.1145/225871.225877

A. Powers, Batteries for Low P ower Electronics, Proceedings of the IEEE, p.6877693, 1995.

. Rabaey, Basics of Low Power Design, Eurochip Course on Methods and Tools for Digital System Design, 1995.

M. Rabaey and L. M. Guerra, Exploring The Architecture and Algorithmic Space for Signal Processing Applications, Technical Digest of Int'l. Conference on VLSI and CAD, p.3155319, 1993.

M. Rabaey, L. M. Guerra, and R. Mehra, Design guidance in the power dimension, 1995 International Conference on Acoustics, Speech, and Signal Processing, 1995.
DOI : 10.1109/ICASSP.1995.479435

B. Rabe, W. Timmermann, and . Nebel, CMOS Library-characterization for power consumption, 1995.

S. Raghunathan, N. K. Dey, K. Jha, and . Wakabayashi, Controller re-speciication to minimize switching activity in controllerrdata path circuits, International Symposium on Low Power Electronics and Design, 1996.

N. K. Raghunathan and . Jha, Behavioral Synthesis for Low P ower, International Conference on Computer Design, 1994.
DOI : 10.1109/iccd.1994.331915

K. Rahmouni, A. A. O-'brien, and . Jerraya, A LOOP-BASED SCHEDULING ALGORITHM FOR HARDWARE DESCRIPTION LANGUAGES, Parallel Processing Letters, vol.04, issue.03, p.3511364, 1994.
DOI : 10.1142/S0129626494000326

URL : https://hal.archives-ouvertes.fr/hal-00008148

R. Rau, Iterative modulo scheduling, Proceedings of the 27th annual international symposium on Microarchitecture , MICRO 27, 1994.
DOI : 10.1145/192724.192731

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.304.8660

R. Rau and J. A. Fisher, Instruction-Level Parallel Processing: History, O v erview and Perspective, 1992.
DOI : 10.1007/bf01205181

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.141.2892

J. Monteiro, S. Rinderknecht, A. Devadas, and . Ghosh, Optimization of combinational and sequential logic circuits for low power using precomputation, Proceedings Sixteenth Conference on Advanced Research in VLSI, 1995.
DOI : 10.1109/ARVLSI.1995.515637

T. M. Schlansker, J. Conte, K. Dehnert, J. Z. Ebcioglu, C. L. Fang et al., Compilers for instruction-level parallelism, Computer, vol.30, issue.12, 1997.
DOI : 10.1109/2.642817

H. Schneider, U. Schlichtmann, and B. Wurth, Fast power estimation of large circuits, IEEE Design & Test of Computers, vol.13, issue.1, p.70077, 1996.
DOI : 10.1109/54.485785

R. Sharma and . Jain, Estimating Architectural Ressources and Performance for High-Level Synthesis Applications, IEEE Trans. on VLSI Systems, vol.12, p.1755190, 1993.

A. Shen, S. Ghosh, K. Devadas, and . Keutzer, On average power dissipation and random pattern testability of CMOS combinational logic networks, IEEE/ACM International Conference on Computer-Aided Design, p.4022407, 1992.
DOI : 10.1109/ICCAD.1992.279338

M. C. Stork, Technology Leverage for Ultra-Low Power Information Systems, Proceedings of the IEEE, p.6077618, 1995.
DOI : 10.1109/lpe.1994.573200

C. Su, C. Tsui, and A. M. Despain, Saving power in the control path of embedded processors, IEEE Design & Test of Computers, vol.11, issue.4, p.24430, 1994.
DOI : 10.1109/54.329448

Z. Sugar, G en eration de code pour les syst eme mixtes, 1999.

A. M. Teng, S. Hill, and . Kang, Estimation of Maximum Transition Counts at Internal Nodes in CMOS VLSI Circuits, International Conference on Computer- Aided Design, 1995.

M. Terman and R. Yan, Scanning the Issue, Proceedings of the IEEE, p.4955496, 1995.

. Terry, Choosing a processor for Embedded Real-Time Applications". Heurikon Corporation -www, 1996.

S. Tiwari, A. Malik, and . Wolfe, Power Analysis of Embedded Software : A First Step Towards Software Power Minimization, IEEE Trans. on VLSI Systems, vol.24, p.4377445, 1994.

M. Tsui, A. M. Pedram, and . Despain, Exact and approximate methods for calculating signal and transition probabilities in FSMs, Proceedings of the 31st annual conference on Design automation conference , DAC '94, pp.18823-18854, 1994.
DOI : 10.1145/196244.196255

N. Turgis, D. Azemard, and . Auvergne, Explicit evaluation of short circuit power dissipation for CMOS logic structures, Proceedings of the 1995 international symposium on Low power design , ISLPED '95, p.1299134, 1995.
DOI : 10.1145/224081.224104

URL : https://hal.archives-ouvertes.fr/lirmm-00241153

J. Vanhoof, K. V. Rompaey, I. Bolsens, G. Goossens, and H. De-man, High-Level Synthesis for Real-Time Digitial Signal Processing, 1993.
DOI : 10.1007/978-1-4757-2222-2

M. Vittal and . Marek-sadowska, Power Optimal Buuered Clock Tree Design, Design Automation Conference, 1995.
DOI : 10.1145/217474.217577

H. E. Weste and K. Eshraghian, Principles of CMOS VLSI Design : A System Perspective, 1995.

G. Xakellis and F. N. Najm, Statistical Estimation of the switching Acivity in Digital Circuits, Design Automation Conference, pp.7288733-7288764, 1994.

G. Xi and W. Dai, Buuer Insertion and Sizing Under Process Variations for Low Power Clock Distribution, Design Automation Conference, pp.4911496-4911528, 1995.
DOI : 10.1145/217474.217576

R. Zimmermann and . Gupta, Low-Power Logic Styles : CMOS vs CPL, European Solid-State Circuits Conference, p.1122115, 1996.
DOI : 10.1109/4.597298