M. Renaudin, F. Robin, and P. Vivet, AAAA : asynchronisme et adéquation algorithme architecture, Traitement du Signal, numéro spécial, vol.14, issue.6, pp.589-604, 1997.

R. , ]. M. Renaudin, and P. Vivet, CHP2VHDL, a CHP to VHDL translator, towards asynchronous design simulation, Communications effectuées à des manifestations d'audience internationale avec comité de sélection et actes Second ACiD-WG Workshop, 1998.

P. M. Renaudin, F. Vivet, and . Robin, ASPRO-216: a standard-cell Q.D.I. 16-bit RISC asynchronous microprocessor, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1998.
DOI : 10.1109/ASYNC.1998.666491

URL : https://hal.archives-ouvertes.fr/hal-00011217

P. M. Renaudin and . Vivet, A Design Experiment : The ASPRO Program Memory, Third ACiD-WG Workshop, 1999.

P. M. Renaudin, F. Vivet, and . Robin, A Design Frame Work for Asynchronous/ Synchronous Circuit Based on CHP to HDL Transaction, International Symposium on Advanced Research in Asynchronous Circuits and Systems " , ASYNC'99, pp.135-144, 1999.

P. M. Renaudin, F. Vivet, and . Robin, ASPRO : an Asynchronous 16-Bit RISC Microprocessor with DSP Capabilities, Proceedings of the 25 th European Solid-State Circuits Conference, ESSCIRC'99, pp.21-23, 1999.
URL : https://hal.archives-ouvertes.fr/hal-00011207

A. , ]. A. Abrial, J. Bouvier, M. Renaudin, and P. Vivet, A Contactless Smart-Card Chip based on an Asynchronous 8-bit Microcontroller, pp.31-32, 2000.
URL : https://hal.archives-ouvertes.fr/hal-01381239

P. M. Renaudin, . Vivet, . Ph, and . Geoffroy, ASPRO : a toy demo, th ACiD Workshop, pp.31-32, 2000.
URL : https://hal.archives-ouvertes.fr/hal-01381240

A. , ]. A. Abrial, J. Bouvier, P. Senn, M. Renaudin et al., A New Contactless Smartcard IC using an On-Chip Antenna and an Asynchronous Microcontroller, Proceedings of the 26 th European Solid-State Circuits Conference ESSCIRC'00, pp.19-21, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00012696

. A. Bibliographie, J. Abrial, M. Bouvier, P. Renaudin, and . Vivet, A Contactless Smart-Card Chip based on an Asynchronous 8-bit Microcontroller, pp.31-32, 2000.

A. , ]. A. Abrial, J. Bouvier, P. Senn, M. Renaudin et al., A New Contactless Smartcard IC using an On-Chip Antenna and an Asynchronous Microcontroller, Proceedings of the 26 th European Solid-State Circuits Conference, pp.0-19, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00012696

A. , ]. A. Abrial, J. Bouvier, P. Senn, M. Renaudin et al., A New Contactless Smartcard IC using an On-Chip Antenna and an Asynchronous Microcontroller, 2001.
URL : https://hal.archives-ouvertes.fr/hal-00012696

]. V. Akella and G. Gopalakrishnan, SHILPA: a high-level synthesis system for self-timed circuits, IEEE/ACM International Conference on Computer-Aided Design, pp.587-594, 1992.
DOI : 10.1109/ICCAD.1992.279308

. C. Baue-97-]-j, E. Bauer, E. Closse, M. Flamand, J. Poize et al., A retargetable optimized compiler for DSPs, Proceedings of the 8th International Conferance on Signal Processing Applications and Technology, pp.1032-1036, 1997.

J. K. Van-berkel, M. Keyssels, R. Ronken, F. Saeijs, and . Chalij, The VLSI-programming language Tangram and its translation into handshake circuits, Proceedings of the European Conference on Design Automation., pp.384-389, 1991.
DOI : 10.1109/EDAC.1991.206431

. K. Van-berkel, Beware the isochronic fork, Integration, the VLSI Journal, vol.13, issue.2, pp.103-128, 1992.
DOI : 10.1016/0167-9260(92)90001-F

. K. Van-berkel, Handshake Circuits -An Asynchronous Architecture for VLSI Programming, 1993.

R. K. Van-berkel, J. Burgess, M. Kessels, F. Roncken, A. Schalij et al., Asynchronous circuits for low power: a DCC error corrector, IEEE Design & Test of Computers, vol.11, issue.2, pp.22-32, 1994.
DOI : 10.1109/54.282442

I. Blunno and L. Lavagno, Automated synthesis of micro-pipelines from behavioral Verilog HDL, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586), pp.84-92, 2000.
DOI : 10.1109/ASYNC.2000.836967

. P. Bren-70-]-r and . Brent, On the addition of binary numbers, IEEE Transaction on Computers, pp.758-759, 1970.

. Brun-89-]-e, R. Brundvand, and . Sproull, Translating Concurrent Programs into Delay- Insensitive Circuits, Proc. ICCAD, pp.262-265, 1989.

. A. Brzo-95-]-j, C. J. Brzozowski, and . Seger, Asynchronous Circuits, Monograph in computer science, pp.0-387, 1995.

A. W. Burks, H. H. Goldstine, J. Von-newmann, and N. J. , Preliminary discussion of the logical design of an electronic instrument". Rapport technique, The Institute of Advanced Study Computer structures : readings and examples, Computer Science series, 1946.

. T. Chri-98-]-k, P. Christensen, P. Jensen, J. Korger, and . Sparso, The design of an Asynchronous Tiny RISC TR4101 Microprocessor Core, ASYNC'98, pp.108-119, 1998.

. A. Chu-85-]-t, C. K. Chu, T. S. Leung, and . Wanuga, A Design Methodology for Concurrent VLSI Systems, ICCD, vol.85, pp.407-410, 1985.

. A. Chu-87a-]-t and . Chu, Synthesis of Self-Timed VLSI Circuits from Graph-Theoretic Specifications, 1987.

. M. Chu-87b-]-k, D. L. Chu, and . Pulfrey, A Comparison of CMOS Techniques : Differential Cascode Voltage Switch Logic Versus Conventional Logic, IEEE journal of solid state circuits, vol.22, issue.4, pp.528-532, 0987.

. A. Chu-93-]-t and . Chu, CLASS: a CAD system for automatic synthesis and verification of asynchronous finite state machines, Integration, the VLSI Journal, vol.15, issue.3, pp.263-289, 1993.
DOI : 10.1016/0167-9260(93)90033-9

W. A. Clark, Macromodular computer systems, Proceedings of the April 18-20, 1967, spring joint computer conference on, AFIPS '67 (Spring), 1967.
DOI : 10.1145/1465482.1465536

]. E. Dallard and C. Raymond, Etude et implémentation d'un microcontrôleur asynchrone pour carte sans contact, rapport de stage de fin d'étude effectué au laboratoire de France Telecom R&D, 1998.

R. L. David, M. Ginosar, and . Yoeli, An efficient implementation of Boolean functions as self-timed circuits, IEEE Transactions on Computers, vol.41, issue.1, pp.2-11, 1992.
DOI : 10.1109/12.123377

R. I. David, M. Ginosar, and . Yoeli, Self-timed is self-checking, Journal of Electronic Testing, vol.20, issue.No. 6, pp.219-228, 1995.
DOI : 10.1007/BF00993088

. E. Dijsktra, Guarded Commands, Nondeterminancy and formal derivations of programs, Communications of the ACM, vol.18, 1975.

. J. Ebergen, A formal approach to designing delay-insensitive circuits, Distributed Computing, vol.1, issue.6, pp.107-119, 1991.
DOI : 10.1007/BF02252954

A. D. Edwards and . Bardsley, Synthesizing Asynchronous Systems Using Balsa : A Tutorial and Case Study, rd ACiD Workshop, 1999.

. B. Hassan, Architecture VLSI Asynchrone utilisant la logique différentielle à précharge : Application aux opérateurs arithmétiques, Thèse de l'Institut National Polytechnique de Grenoble (INPG), spécialité Microélectronique

S. P. Endecott and . Furber, Behavioral Modeling of Asynchronous Systems for Power and Performance Analysis, PATMOS'98 international workshop, Denmark, pp.137-146, 1998.

S. B. Furber, P. Day, J. D. Garside, N. C. Paver, S. Temple et al., The design and evaluation of an asynchronous microprocessor, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1994.
DOI : 10.1109/ICCD.1994.331891

. B. Furb-96a-]-s, J. Burber, and . Lui, Dynamic Logic Four Phase Micropipelines, International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.11-16, 1996.

P. B. Furber and . Day, Four-phase micropipeline latch control circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.4, issue.2, 1996.
DOI : 10.1109/92.502196

S. B. Furber, J. Garside, S. Temple, and J. Liu, AMULET2e : An Asynchronous Embedded Controller, Proceedings of the third international symposium on Advanced Research in Asynchronous Circuits and Systems, 1997.

S. Furber, J. Garside, P. Riocreux, S. Temple, P. Day et al., AMULET2e : an asynchronous embedded controller, Proceedings of the IEEE, pp.243-256, 1999.

J. Garside, AMULET3i-an asynchronous system-on-chip, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586), pp.162-175, 2000.
DOI : 10.1109/ASYNC.2000.836999

V. G. Gopalakrishnan and . Akella, VLSI asynchronous systems: specification and synthesis, Microprocessors and Microsystems, vol.16, issue.10, pp.517-527, 1992.
DOI : 10.1016/0141-9331(92)90081-4

]. S. Hauck, Asynchronous design methodologies: an overview, Proceedings of the IEEE, vol.83, issue.1, pp.69-93, 1995.
DOI : 10.1109/5.362752

. L. Henn-96-]-j, D. A. Hennessy, and . Patterson, Computer Architecture, a Quantitative Approach, 1996.

C. A. Hoare, Communicating sequential processes, Communications of the ACM, vol.21, issue.8, pp.666-677, 1978.
DOI : 10.1145/359576.359585

L. A. Hollar, Direct Implementation of Asynchronous Control Units, IEEE Transactions on Computers, vol.31, issue.12, pp.1133-1141, 1982.
DOI : 10.1109/TC.1982.1675937

]. H. Hulgaard, S. M. Burns, and G. Borriello, Testing asynchronous circuits: A survey, Integration, the VLSI Journal, vol.19, issue.3, pp.111-131, 1995.
DOI : 10.1016/0167-9260(95)00012-5

H. Jacobson, E. Brunvand, G. Gopalakrishnan, and P. Kudva, High-level asynchronous system design using the ACK framework, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586), pp.93-103, 2000.
DOI : 10.1109/ASYNC.2000.836975

G. J. Kessels, T. Den-besten, V. Kramer, and . Timm, Applying asynchronous circuits in contactless smart cards, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586), pp.31-32, 2000.
DOI : 10.1109/ASYNC.2000.836785

T. J. Kessels, G. Kramer, V. Den-besten, and . Timm, Appying Asynchronous Circuits in Contactless Smart Cards, Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.36-44, 2000.

. A. Kish-92-]-m, A. K. Kishinevsky, A. R. Kondratyev, V. I. Taubin, and . Varshavsky, On Self Timed Behavior Verification, 1992.

. A. Kish-94-]-m, A. K. Kishinevsky, A. R. Kondratyev, V. I. Taubin, and . Varshavsky, Concurrent Hardware, The Theory and Practice of Self-Timed Design, Wiley Series in Parallel Computing, 1994.

A. L. Kleeman and . Cantoni, Metastable Behavior in Digital Systems, IEEE Design & Test of Computers, vol.4, issue.6, pp.4-19, 1987.
DOI : 10.1109/MDT.1987.295189

R. Kol, R. Ginosar, and G. Samuel, Statechart methodology for the design, validation, and synthesis of large scale asynchronous systems, Proceedings Second International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.164-174, 1996.
DOI : 10.1109/ASYNC.1996.494448

]. A. Kondratyev, M. Kishinevsky, and A. Yakovlev, Hazard-Free Implementation of Speed-Independent Circuits, IEEE Trans. On Computer-Aided Design of Integrated Circuits and Systems, vol.17, issue.9, 1998.

. I. Koren, Computer arithmetic algorithms, 1993.

G. P. Kudva, H. Gopalakrishnan, S. M. Jacobson, and . Nowick, Synthesis of hazard-free customized CMOS complex-gate networks under multiple-input changes, Proc. Of the 33 rd ACM/IEEE Design Automation Conference, pp.77-82, 1996.

L. Lavagno and A. Sangiovanni-vincentelli, Algorithms for Synthesis and Testing of Asynchronous Circuits, 1993.
DOI : 10.1007/978-1-4615-3212-5

N. M. Lehman and . Bural, Skip Techniques for High-Speed Carry-Propagation in Binary Arithmetic Units, IEEE Transactions on Electronic Computers, vol.10, issue.4, p.691, 1961.
DOI : 10.1109/TEC.1961.5219274

]. W. Mallon, J. T. Udding, and T. Verhoeff, Analysis and applications of the XDI model, Proceedings. Fifth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.231-242, 1999.
DOI : 10.1109/ASYNC.1999.761537

]. A. Marshall, B. Coates, and P. Siegel, Designing an asynchronous communications chip, IEEE Design and Test of Computers, pp.8-21, 1994.
DOI : 10.1109/54.282441

. A. Martin, Compiling communication processes into delay insensitive VLSI circuits, Distributed computing, pp.226-234, 1986.

S. A. Martin, T. K. Burns, D. Lee, P. J. Borkovic, and . Hazewindus, The Design of an Asynchronous Microprocessor

. A. Martin, Programming in VLSI: From Communicating Processes to Delay-Insensitive Circuits, Developments in Concurrency and Communication, pp.1-64, 1990.

. A. Martin, The Limitations to Delay-Insensitivity in Asynchronous Circuits, Proceedings of the Sixth MIT Conference on Advanced Research in VLSI, pp.263-278, 1990.
DOI : 10.1007/978-1-4612-4476-9_35

A. J. Martin and P. J. Hazewindus, Testing delay-insensitive circuits, Advanced Research in VLSI, pp.118-132, 1991.

. A. Martin, Synthesis of Asynchronous VLSI Circuits, 1993.

A. Martin, A. Lines, R. Manohar, M. Nyström, P. Penzes et al., The design of an asynchronous MIPS R3000 microprocessor, Proceedings Seventeenth Conference on Advanced Research in VLSI, pp.164-181, 1997.
DOI : 10.1109/ARVLSI.1997.634853

G. Matsubara and N. Ide, A low power zero-overhead self-timed division and square root unit combining a single-rail static circuit with a dual-rail dynamic circuit, Proceedings Third International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1997.
DOI : 10.1109/ASYNC.1997.587175

A. J. Mcauley, Four state asynchronous architectures, IEEE Transactions on Computers, vol.41, issue.2, pp.129-142, 1992.
DOI : 10.1109/12.123391

C. E. Molnar, T. P. Fang, and F. U. Rosenberg, Synthesis of delay-insensitive modules"Chapel Hill conference on VLSI, computer science press, pp.67-85, 1985.

R. E. Muller, Sequential circuits, Switching theory, vol.10, issue.2, 1965.

. M. Mull-89-]-j and . Muller, Arithmétique des ordinateurs, 1989.

. M. Mull-97-]-j, A. Muller, J. M. Tisserand, and . Vincent, Asynchronous sub-logarithmic adders, IEEE Pacific Rim Conference on Communication, Computers and Signal Processing (PACRIM97), pp.515-518

. M. Mull-97-]-j and . Muller, Elementary Functions, Algorithms and Implementation, 1997.

T. J. Muttersbach, W. Villiger, and . Fichtner, Practical design of globally-asynchronous locally-synchronous systems, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586), pp.52-59, 2000.
DOI : 10.1109/ASYNC.2000.836791

T. Nanya, Y. Ueno, H. Kagotani, M. Kuwako, A. Takamura et al., TITAC: design of a quasi-delay-insensitive microprocessor, IEEE Design & Test of Computers, vol.11, issue.2, pp.50-63, 1994.
DOI : 10.1109/54.282445

C. D. Nielsen, Evaluation of function blocks for Asynchronous design, EURODAC'94, pp.454-459, 1994.

L. S. Nielsen, C. Niessen, J. Sparso, and J. Van-berkel, Low-power operation using self-timed circuits and adaptive scaling of the supply voltage, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, issue.4, 1994.
DOI : 10.1109/92.335008

. M. Nowi-91-]-s, D. L. Nowick, and . Dill, Automatic Synthesis of Locally-Clocked Asynchronous State Machines, ICCAD, pp.318-321, 1991.

S. M. Nowick and D. L. Dill, Exact two-level minimization of hazard-free logic with multiple-input changes, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.14, issue.8, pp.986-997, 1995.
DOI : 10.1109/43.402498

A. R. Omondi, E. Pastor, J. Cortadella, A. Kondratyev, and O. Roig, Computer Arithmetic Systems, Algorithms, Architecture, and ImplementationsStructural Methods for Synthesis of Speed-Independent Circuits, Prentice Hall International Series in Computer Science, 1994.

C. Piguet and J. Zahnd, Electrical Design of Dynamic and Static Speed- Indemendent CMOS Circuits from STGs, rd ACiD Workshop, 1999.

W. Putzke-röming, M. Radetski, and W. Nebel, A flexible message passing mechanism for Objective VHDL, Proceedings Design, Automation and Test in Europe, pp.242-249, 1998.
DOI : 10.1109/DATE.1998.655863

B. M. Renaudin and . Hassan, The Design of Fast Asynchronous Adder Structures and Their Implementation Using D.C.V.S. Logic, Proceedings ISCAS, 1994.

B. M. Renaudin and . Hassan, A minimum power, 100 MHz , 12x18+30-b Multiplier-Accumulator operating in asynchronous and synchronous mode, ESSCIRC, vol.94, 1994.

M. Renaudin, B. Hassan, and A. Guyot, A new asynchronous pipeline scheme: application to the design of a self-timed ring divider, IEEE Journal of Solid-State Circuits, vol.31, issue.7, pp.1001-1013, 1996.
DOI : 10.1109/4.508214

URL : https://hal.archives-ouvertes.fr/hal-00012049

F. M. Renaudin, P. Robin, and . Vivet, AAAA : asynchronisme et adéquation algorithme architecture, Traitement du Signal, numéro spécial, vol.14, issue.6, pp.589-604, 1997.

P. M. Renaudin and . Vivet, CHP2VHDL, a CHP to VHDL translator, towards asynchronous design simulation, Second ACiD-WG Workshop, 1998.

P. M. Renaudin, F. Vivet, and . Robin, ASPRO-216: a standard-cell Q.D.I. 16-bit RISC asynchronous microprocessor, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1998.
DOI : 10.1109/ASYNC.1998.666491

URL : https://hal.archives-ouvertes.fr/hal-00011217

P. M. Renaudin and . Vivet, A Design Experiment : The ASPRO Program Memory, Third ACiD-WG Workshop, 1999.

P. M. Renaudin, F. Vivet, and . Robin, A Design Frame Work for Asynchronous/ Synchronous Circuit Based on CHP to HDL Transaction, International Symposium on Advanced Research in Asynchronous Circuits and Systems" ASYNC'99, pp.135-144, 1999.

P. M. Renaudin, F. Vivet, and . Robin, ASPRO : an Asynchronous 16-Bit RISC Microprocessor with DSP Capabilities, Proceedings of the 25 th European Solid-State Circuits Conference, ESSCIRC'99, pp.21-23, 1999.
URL : https://hal.archives-ouvertes.fr/hal-00011207

P. M. Renaudin, . Vivet, . Ph, and . Geoffroy, ASPRO : a toy demo, th AciD Workshop, pp.31-32, 2000.
URL : https://hal.archives-ouvertes.fr/hal-01381240

W. F. Richardson, . Brunvand, and . Fred, Fred: an architecture for a self-timed decoupled computer, Proceedings Second International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.60-68, 1996.
DOI : 10.1109/ASYNC.1996.494438

G. Renaudin, N. Privat, . Van-den, and . Bossche, A Functionally Asynchronous Array-Processor for Morphological Filtering of Greyscale Images, IEE Computers and Digital Techniques, special section on Asynchronous Architecture, vol.143, issue.5, 1996.

. F. Robin, Etude d'architectures VLSI numériques parallèles et asynchrones pour la mise en oeuvre de nouveaux algorithmes d'analyse et rendu d'images, Thèse de doctorat de l'ENST Paris, spécialité Electronique et Communications, 1997.

M. F. Robin, G. Renaudin, N. Privat, . Van-den, and . Bossche, Un réseau cellulaire VLSI fonctionnellement asynchrone pour le filtrage morphologique d'images, Traitement du Signal, numéro spécial, vol.14, issue.6, pp.655-664, 1997.

F. U. Rosenberg, C. E. Molnar, T. J. Chaney, and T. P. Fang, Q-modules: internally clocked delay-insensitive modules, IEEE Transactions on Computers, vol.37, issue.9, pp.1005-1018, 1988.
DOI : 10.1109/12.2252

. Saku-88-]-t and . Sakurai, optimization of CMOS arbiter and synchronizer circuit with submicrometer MOSFET'S, IEEE journal of solid-state circuits, vol.23, issue.24, pp.901-906, 1991.

]. J. Spar-93a, J. Sparo, and . Staunstup, Delay insensitive multi-ring structures, Integration, the VLSI journal, pp.313-340, 1993.

]. J. Spar-93b, C. D. Sparo, L. Nielsen, E. J. Nielsen, and . Staunstup, Design of self timed multipliers : a comparaison, IFIP Working conférence on asynchronous design methodologies, 1993.

R. Sproull, I. Sutherland, and C. Molnar, The Counterfow Pipeline Processor Architecture, IEEE Design and Test of Computers, pp.48-59, 1994.

K. Stevens, R. Ginosar, and S. Rotem, Relative timing, Proceedings. Fifth International Symposium on Advanced Research in Asynchronous Circuits and Systems, pp.208-218, 1999.
DOI : 10.1109/ASYNC.1999.761535

E. Ivan and . Sutherland, Micropipelines, Communication of the ACM, vol.32, p.6, 1989.

S. Swamy, A. Molin, and B. Convot, OO-VHDL. Object-oriented extensions to VHDL, Computer, vol.28, issue.10, pp.18-26, 1995.
DOI : 10.1109/2.467587

A. Takamura, M. Kuwako, M. Imai, T. Fujii, M. Ozawa et al., TITAC-2: an asynchronous 32-bit microprocessor based on scalable-delay-insensitive model, Proceedings International Conference on Computer Design VLSI in Computers and Processors, pp.288-294, 1997.
DOI : 10.1109/ICCD.1997.628881

S. Y. Tan, S. Furber, and W. F. Yen, The design of an asynchronous VHDL Synthetizer, pp.44-51, 1998.

S. H. Terada, M. Miyata, A. J. Iwata, D. Martin, T. Borkovic et al., DDMP's : Self-Timed Super-Pipelined Data- Driven Multimedia ProcessorsA 100 MIPS GaAs asynchronous microprocessor, Proceedings of the IEEE, pp.282-296, 1994.

. A. Tisserand, Adéquation Arithmétique Architecture : Problèmes et études de cas, Thèse Ecole Normale Supérieure de Lyon, 1997.

. I. Vars-86-]-v and . Varshavsky, Self-timed Control of Concurrent Processes, 1986.

. T. Williams, Self timed rings and their application to division

T. E. Williams, Performance of iterative computation in self-timed rings, J. VLSI Signal Processing, pp.17-31, 1994.
DOI : 10.1007/BF02108187

]. S. Winograd, On the Time Required to Perform Addition, Journal of the ACM, vol.12, issue.2, pp.277-285, 1965.
DOI : 10.1145/321264.321279

K. Yun and D. Dill, Automatic synthesis of 3D asynchronous state machines, IEEE/ACM International Conference on Computer-Aided Design, pp.576-580, 1992.
DOI : 10.1109/ICCAD.1992.279310

]. K. Yun, P. Beerel, and J. Arceo, High Performance Asynchronous Pipeline, International Symposium on Advanced Research in Asynchronous Circuits and Systems", ASYNC'96, pp.17-28, 1996.

W. D. Zuras and . Mc-allister, Balanced delay trees and combinatorial division in VLSI, IEEE Journal of Solid-State Circuits, vol.21, issue.5, pp.814-819, 1986.
DOI : 10.1109/JSSC.1986.1052612